summaryrefslogtreecommitdiff
path: root/quartus/dionysos_nios2mmu.qsf
diff options
context:
space:
mode:
authorTobias Klauser <tklauser@distanz.ch>2013-01-09 14:03:23 +0100
committerTobias Klauser <tklauser@distanz.ch>2013-01-09 14:03:23 +0100
commit4bf58ac486b151293a0c0f9bd598e44311eb2022 (patch)
tree64e48885974aa9070e3e97d46ba7378228dea3c3 /quartus/dionysos_nios2mmu.qsf
parent134933c6336efed17dde077df3a9a3233b620bae (diff)
Update LED vector length, pid bits and address ranges of SOPC components
Diffstat (limited to 'quartus/dionysos_nios2mmu.qsf')
0 files changed, 0 insertions, 0 deletions