summaryrefslogtreecommitdiff
path: root/quartus/dionysos_nios2mmu.qsf
diff options
context:
space:
mode:
Diffstat (limited to 'quartus/dionysos_nios2mmu.qsf')
-rw-r--r--quartus/dionysos_nios2mmu.qsf18
1 files changed, 10 insertions, 8 deletions
diff --git a/quartus/dionysos_nios2mmu.qsf b/quartus/dionysos_nios2mmu.qsf
index de2936f..f9bb63c 100644
--- a/quartus/dionysos_nios2mmu.qsf
+++ b/quartus/dionysos_nios2mmu.qsf
@@ -187,8 +187,8 @@ set_location_assignment PIN_AB9 -to et_phy1_int_2_i
set_location_assignment PIN_U11 -to et_phy1_mdc_o
set_location_assignment PIN_V11 -to et_phy1_mdio_io
set_location_assignment PIN_W10 -to rst_et_phy1_n_o
-#set_location_assignment PIN_Y10 -to gen_led_r_o[3]
-#set_location_assignment PIN_AA10 -to gen_led_g_o[3]
+set_location_assignment PIN_Y10 -to gen_led_r_o[3]
+set_location_assignment PIN_AA10 -to gen_led_g_o[3]
# FPGA bank 4
# -----------
@@ -221,15 +221,15 @@ set_location_assignment PIN_W10 -to rst_et_phy1_n_o
#set_location_assignment PIN_AB17 -to otg_dack0_n_o
#set_location_assignment PIN_AA18 -to otg_dack1_n_o
set_location_assignment PIN_AB19 -to et_phy2_rx_dv_1_i
-#set_location_assignment PIN_W17 -to gen_led_r_o[6]
+set_location_assignment PIN_W17 -to gen_led_r_o[6]
set_location_assignment PIN_Y17 -to et_phy2_tx_en_1_o
-#set_location_assignment PIN_AB20 -to gen_led_r_o[2]
-#set_location_assignment PIN_V16 -to gen_led_g_o[2]
+set_location_assignment PIN_AB20 -to gen_led_r_o[2]
+set_location_assignment PIN_V16 -to gen_led_g_o[2]
#set_location_assignment PIN_U16 -to reserve4_i
#set_location_assignment PIN_U17 -to reserve5_i
set_location_assignment PIN_T16 -to aux_clk_p_o
set_location_assignment PIN_R16 -to aux_clk_n_o
-#set_location_assignment PIN_R15 -to gen_led_g_o[6]
+set_location_assignment PIN_R15 -to gen_led_g_o[6]
# FPGA bank 5
# -----------
@@ -374,8 +374,8 @@ set_location_assignment PIN_F11 -to dram_a_o[12]
#set_location_assignment PIN_H11 -to sdfe_aux_3_4_i
#set_location_assignment PIN_H10 -to sdfe_aux_3_5_i
#set_location_assignment PIN_A5 -to sdfe_refclk_3_i
-#set_location_assignment PIN_B5 -to gen_led_r_o[1]
-#set_location_assignment PIN_G10 -to gen_led_g_o[1]
+set_location_assignment PIN_B5 -to gen_led_r_o[1]
+set_location_assignment PIN_G10 -to gen_led_g_o[1]
#set_location_assignment PIN_F10 -to sdfe_scdi_o
#set_location_assignment PIN_C6 -to sdfe_scdo_i
#set_location_assignment PIN_D7 -to sdfe_scck_o
@@ -421,6 +421,7 @@ set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to fpga_reset_n_i
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to reserve8_i
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gen_led_r_o[1]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gen_led_g_o[1]
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to et_phy_clk_50mhz_o
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
@@ -447,4 +448,5 @@ set_global_assignment -name QIP_FILE dionysos_nios2mmu.qip
set_global_assignment -name VHDL_FILE dionysos_nios2mmu.vhd
set_global_assignment -name SDC_FILE dionysos_nios2mmu.sdc
+
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file