summaryrefslogtreecommitdiff
path: root/quartus/dionysos_nios2mmu.sopc
diff options
context:
space:
mode:
Diffstat (limited to 'quartus/dionysos_nios2mmu.sopc')
-rw-r--r--quartus/dionysos_nios2mmu.sopc180
1 files changed, 125 insertions, 55 deletions
diff --git a/quartus/dionysos_nios2mmu.sopc b/quartus/dionysos_nios2mmu.sopc
index 82b9b0b..9d5005e 100644
--- a/quartus/dionysos_nios2mmu.sopc
+++ b/quartus/dionysos_nios2mmu.sopc
@@ -2,6 +2,14 @@
<system name="dionysos_nios2mmu">
<parameter name="bonusData"><![CDATA[bonusData
{
+ element ISP1362
+ {
+ datum _sortIndex
+ {
+ value = "16";
+ type = "int";
+ }
+ }
element jtag_uart_0.avalon_jtag_slave
{
datum _lockedAddress
@@ -11,7 +19,33 @@
}
datum baseAddress
{
- value = "41953472";
+ value = "117440512";
+ type = "long";
+ }
+ }
+ element ISP1362.avalon_slave_0
+ {
+ datum _lockedAddress
+ {
+ value = "1";
+ type = "boolean";
+ }
+ datum baseAddress
+ {
+ value = "136445952";
+ type = "long";
+ }
+ }
+ element ISP1362.avalon_slave_1
+ {
+ datum _lockedAddress
+ {
+ value = "1";
+ type = "boolean";
+ }
+ datum baseAddress
+ {
+ value = "136445984";
type = "long";
}
}
@@ -45,7 +79,7 @@
}
datum baseAddress
{
- value = "41952256";
+ value = "134226944";
type = "long";
}
}
@@ -58,7 +92,7 @@
}
datum baseAddress
{
- value = "4759552";
+ value = "134217728";
type = "long";
}
}
@@ -71,11 +105,11 @@
}
datum megawizard_uipreferences
{
- value = "{}";
+ value = "{output_language=VHDL, output_directory=/home/tklauser/projects/dionysos_nios2mmu/quartus}";
type = "String";
}
}
- element sgdma_rx.csr
+ element sgdma_tx.csr
{
datum _lockedAddress
{
@@ -84,11 +118,11 @@
}
datum baseAddress
{
- value = "41953280";
+ value = "136314880";
type = "long";
}
}
- element sgdma_tx.csr
+ element sgdma_rx.csr
{
datum _lockedAddress
{
@@ -97,7 +131,7 @@
}
datum baseAddress
{
- value = "41953344";
+ value = "135266304";
type = "long";
}
}
@@ -126,7 +160,7 @@
}
datum baseAddress
{
- value = "41949184";
+ value = "83886080";
type = "long";
}
}
@@ -152,7 +186,7 @@
}
datum baseAddress
{
- value = "41947136";
+ value = "75497472";
type = "long";
}
}
@@ -178,11 +212,11 @@
}
datum megawizard_uipreferences
{
- value = "{}";
+ value = "{output_language=VHDL, output_directory=/home/tklauser/projects/dionysos_nios2mmu/quartus}";
type = "String";
}
}
- element watchdog_timer.s1
+ element onchip_memory2_0.s1
{
datum _lockedAddress
{
@@ -191,11 +225,11 @@
}
datum baseAddress
{
- value = "76021760";
+ value = "75505664";
type = "long";
}
}
- element timer_0.s1
+ element uart_0.s1
{
datum _lockedAddress
{
@@ -204,11 +238,11 @@
}
datum baseAddress
{
- value = "41953408";
+ value = "100663296";
type = "long";
}
}
- element cfi_flash_0.s1
+ element timer_0.s1
{
datum _lockedAddress
{
@@ -217,11 +251,11 @@
}
datum baseAddress
{
- value = "37748736";
+ value = "71303168";
type = "long";
}
}
- element uart_0.s1
+ element sdram_0.s1
{
datum _lockedAddress
{
@@ -230,11 +264,11 @@
}
datum baseAddress
{
- value = "41953440";
+ value = "0";
type = "long";
}
}
- element sdram_0.s1
+ element watchdog_timer.s1
{
datum _lockedAddress
{
@@ -243,11 +277,11 @@
}
datum baseAddress
{
- value = "16777216";
+ value = "76021760";
type = "long";
}
}
- element onchip_memory2_0.s1
+ element descriptor_memory.s1
{
datum _lockedAddress
{
@@ -256,11 +290,11 @@
}
datum baseAddress
{
- value = "41951232";
+ value = "75759616";
type = "long";
}
}
- element descriptor_memory.s1
+ element cfi_flash_0.s1
{
datum _lockedAddress
{
@@ -269,7 +303,7 @@
}
datum baseAddress
{
- value = "75759616";
+ value = "67108864";
type = "long";
}
}
@@ -282,7 +316,7 @@
}
datum baseAddress
{
- value = "41951232";
+ value = "75505664";
type = "long";
}
}
@@ -347,7 +381,7 @@
}
datum megawizard_uipreferences
{
- value = "{output_language=VHDL, output_directory=/home/tklauser/projects/dionysos_nios2mmu/quartus}";
+ value = "{}";
type = "String";
}
}
@@ -386,7 +420,7 @@
}
datum megawizard_uipreferences
{
- value = "{}";
+ value = "{output_language=VHDL, output_directory=/home/tklauser/projects/dionysos_nios2mmu/quartus}";
type = "String";
}
}
@@ -410,8 +444,8 @@
<parameter name="hardcopyCompatible" value="false" />
<parameter name="hdlLanguage" value="VHDL" />
<parameter name="projectName">dionysos_nios2mmu.qpf</parameter>
- <parameter name="systemHash" value="-77631020099" />
- <parameter name="timeStamp" value="1295459557215" />
+ <parameter name="systemHash" value="-88262254885" />
+ <parameter name="timeStamp" value="1308843850996" />
<module name="clk_0" kind="clock_source" version="9.1" enabled="1">
<parameter name="clockFrequency" value="50000000" />
<parameter name="clockFrequencyKnown" value="true" />
@@ -462,7 +496,7 @@
<parameter name="mmu_udtlbNumEntries" value="_6" />
<parameter name="mmu_tlbPtrSz" value="_7" />
<parameter name="mmu_tlbNumWays" value="_16" />
- <parameter name="mmu_processIDNumBits" value="_8" />
+ <parameter name="mmu_processIDNumBits" value="_10" />
<parameter name="mmu_enabled" value="true" />
<parameter name="mmu_autoAssignTlbPtrSz" value="true" />
<parameter name="mmu_TLBMissExcSlave" value="onchip_memory2_0.s1" />
@@ -505,9 +539,9 @@
<parameter name="TRP" value="20.0" />
<parameter name="TWR" value="14.0" />
<parameter name="casLatency" value="3" />
- <parameter name="columnWidth" value="9" />
+ <parameter name="columnWidth" value="10" />
<parameter name="dataWidth" value="16" />
- <parameter name="generateSimulationModel" value="true" />
+ <parameter name="generateSimulationModel" value="false" />
<parameter name="initNOPDelay" value="0.0" />
<parameter name="initRefreshCommands" value="2" />
<parameter name="masteredTristateBridgeSlave" value="" />
@@ -518,7 +552,7 @@
<parameter name="powerUpDelay" value="100.0" />
<parameter name="refreshPeriod" value="15.625" />
<parameter name="registerDataIn" value="true" />
- <parameter name="rowWidth" value="12" />
+ <parameter name="rowWidth" value="13" />
</module>
<module
name="tri_state_bridge_0"
@@ -558,7 +592,7 @@
<parameter name="resetOutput" value="false" />
<parameter name="snapshot" value="true" />
<parameter name="timeoutPulseOutput" value="false" />
- <parameter name="timerPreset" value="CUSTOM" />
+ <parameter name="timerPreset" value="FULL_FEATURED" />
</module>
<module name="uart_0" kind="altera_avalon_uart" version="9.1" enabled="1">
<parameter name="baud" value="115200" />
@@ -604,7 +638,7 @@
<parameter name="initMemContent" value="true" />
<parameter name="initializationFileName" value="onchip_memory2_0" />
<parameter name="instanceID" value="NONE" />
- <parameter name="memorySize" value="1024" />
+ <parameter name="memorySize" value="2048" />
<parameter name="readDuringWriteMode" value="DONT_CARE" />
<parameter name="simAllowMRAMContentsFile" value="false" />
<parameter name="slave1Latency" value="1" />
@@ -738,7 +772,7 @@
<parameter name="alwaysRun" value="true" />
<parameter name="counterSize" value="32" />
<parameter name="fixedPeriod" value="true" />
- <parameter name="period" value="10" />
+ <parameter name="period" value="5" />
<parameter name="periodUnits" value="SEC" />
<parameter name="resetOutput" value="true" />
<parameter name="snapshot" value="false" />
@@ -746,6 +780,7 @@
<parameter name="timerPreset" value="WATCHDOG" />
</module>
<module name="sysid" kind="altera_avalon_sysid" version="9.1" enabled="1" />
+ <module name="ISP1362" kind="ISP1362_CTRL" version="1.0" enabled="1" />
<connection kind="clock" version="9.1" start="clk_0.clk" end="cpu_0.clk" />
<connection
kind="avalon"
@@ -753,7 +788,7 @@
start="cpu_0.instruction_master"
end="cpu_0.jtag_debug_module">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x02801000" />
+ <parameter name="baseAddress" value="0x04800000" />
</connection>
<connection
kind="avalon"
@@ -761,7 +796,7 @@
start="cpu_0.data_master"
end="cpu_0.jtag_debug_module">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x02801000" />
+ <parameter name="baseAddress" value="0x04800000" />
</connection>
<connection kind="clock" version="9.1" start="clk_0.clk" end="sdram_0.clk" />
<connection
@@ -770,7 +805,7 @@
start="cpu_0.instruction_master"
end="sdram_0.s1">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x01000000" />
+ <parameter name="baseAddress" value="0x0000" />
</connection>
<connection
kind="avalon"
@@ -778,7 +813,7 @@
start="cpu_0.data_master"
end="sdram_0.s1">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x01000000" />
+ <parameter name="baseAddress" value="0x0000" />
</connection>
<connection
kind="clock"
@@ -808,7 +843,7 @@
start="tri_state_bridge_0.tristate_master"
end="cfi_flash_0.s1">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x02400000" />
+ <parameter name="baseAddress" value="0x04000000" />
</connection>
<connection
kind="clock"
@@ -821,7 +856,7 @@
start="cpu_0.instruction_master"
end="epcs_flash_controller_0.epcs_control_port">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x02801800" />
+ <parameter name="baseAddress" value="0x05000000" />
</connection>
<connection
kind="avalon"
@@ -829,7 +864,7 @@
start="cpu_0.data_master"
end="epcs_flash_controller_0.epcs_control_port">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x02801800" />
+ <parameter name="baseAddress" value="0x05000000" />
</connection>
<connection
kind="interrupt"
@@ -845,7 +880,7 @@
start="cpu_0.data_master"
end="timer_0.s1">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x02802880" />
+ <parameter name="baseAddress" value="0x04400000" />
</connection>
<connection kind="interrupt" version="9.1" start="cpu_0.d_irq" end="timer_0.irq">
<parameter name="irqNumber" value="0" />
@@ -853,7 +888,7 @@
<connection kind="clock" version="9.1" start="clk_0.clk" end="uart_0.clk" />
<connection kind="avalon" version="6.1" start="cpu_0.data_master" end="uart_0.s1">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x028028a0" />
+ <parameter name="baseAddress" value="0x06000000" />
</connection>
<connection kind="interrupt" version="9.1" start="cpu_0.d_irq" end="uart_0.irq">
<parameter name="irqNumber" value="2" />
@@ -865,7 +900,7 @@
start="cpu_0.data_master"
end="jtag_uart_0.avalon_jtag_slave">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x028028c0" />
+ <parameter name="baseAddress" value="0x07000000" />
</connection>
<connection
kind="interrupt"
@@ -890,7 +925,7 @@
start="cpu_0.tightly_coupled_instruction_master_0"
end="onchip_memory2_0.s1">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x02802000" />
+ <parameter name="baseAddress" value="0x04802000" />
</connection>
<connection
kind="avalon"
@@ -898,7 +933,7 @@
start="cpu_0.tightly_coupled_data_master_0"
end="onchip_memory2_0.s2">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x02802000" />
+ <parameter name="baseAddress" value="0x04802000" />
</connection>
<connection
kind="clock"
@@ -916,7 +951,7 @@
start="cpu_0.data_master"
end="tse_mac.control_port">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x02802400" />
+ <parameter name="baseAddress" value="0x08002400" />
</connection>
<connection
kind="clock"
@@ -930,7 +965,7 @@
start="cpu_0.data_master"
end="sgdma_rx.csr">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x02802800" />
+ <parameter name="baseAddress" value="0x08100000" />
</connection>
<connection
kind="interrupt"
@@ -951,7 +986,7 @@
start="cpu_0.data_master"
end="sgdma_tx.csr">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x02802840" />
+ <parameter name="baseAddress" value="0x08200000" />
</connection>
<connection
kind="interrupt"
@@ -978,7 +1013,7 @@
</connection>
<connection kind="avalon" version="6.1" start="sgdma_rx.m_write" end="sdram_0.s1">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x01000000" />
+ <parameter name="baseAddress" value="0x0000" />
</connection>
<connection
kind="avalon_streaming"
@@ -992,7 +1027,7 @@
end="tse_mac.transmit" />
<connection kind="avalon" version="6.1" start="sgdma_tx.m_read" end="sdram_0.s1">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x01000000" />
+ <parameter name="baseAddress" value="0x0000" />
</connection>
<connection
kind="avalon"
@@ -1041,6 +1076,41 @@
start="cpu_0.data_master"
end="sysid.control_slave">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x0048a000" />
+ <parameter name="baseAddress" value="0x08000000" />
+ </connection>
+ <connection
+ kind="clock"
+ version="9.1"
+ start="clk_0.clk"
+ end="ISP1362.clock_reset" />
+ <connection
+ kind="avalon"
+ version="6.1"
+ start="cpu_0.data_master"
+ end="ISP1362.avalon_slave_0">
+ <parameter name="arbitrationPriority" value="1" />
+ <parameter name="baseAddress" value="0x08220000" />
+ </connection>
+ <connection
+ kind="avalon"
+ version="6.1"
+ start="cpu_0.data_master"
+ end="ISP1362.avalon_slave_1">
+ <parameter name="arbitrationPriority" value="1" />
+ <parameter name="baseAddress" value="0x08220020" />
+ </connection>
+ <connection
+ kind="interrupt"
+ version="9.1"
+ start="cpu_0.d_irq"
+ end="ISP1362.interrupt_sender_1">
+ <parameter name="irqNumber" value="8" />
+ </connection>
+ <connection
+ kind="interrupt"
+ version="9.1"
+ start="cpu_0.d_irq"
+ end="ISP1362.interrupt_sender_0">
+ <parameter name="irqNumber" value="7" />
</connection>
</system>