summaryrefslogtreecommitdiff
path: root/quartus/dionysos_nios2mmu.sopcinfo
diff options
context:
space:
mode:
Diffstat (limited to 'quartus/dionysos_nios2mmu.sopcinfo')
-rw-r--r--quartus/dionysos_nios2mmu.sopcinfo1540
1 files changed, 1404 insertions, 136 deletions
diff --git a/quartus/dionysos_nios2mmu.sopcinfo b/quartus/dionysos_nios2mmu.sopcinfo
index 5464541..24c252a 100644
--- a/quartus/dionysos_nios2mmu.sopcinfo
+++ b/quartus/dionysos_nios2mmu.sopcinfo
@@ -4,7 +4,7 @@
kind="com_altera_sopcmodel_ensemble_Ensemble"
version="9.1">
<!-- Format version 9.1sp2 350 (Future versions may contain additional information.) -->
- <!-- 2010.08.02.11:48:14 -->
+ <!-- 2011.01.19.13:44:07 -->
<!-- A collection of modules and connections -->
<parameter name="deviceFamily">
<type>com.altera.entityinterfaces.moduleext.IDeviceFamily$EDeviceFamily</type>
@@ -48,7 +48,7 @@
</parameter>
<parameter name="systemHash">
<type>long</type>
- <value>-62283505486</value>
+ <value>-77315762035</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -56,7 +56,7 @@
</parameter>
<parameter name="timeStamp">
<type>long</type>
- <value>1280742475274</value>
+ <value>1295440989718</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -241,21 +241,21 @@ parameters are a RESULT of the module parameters. -->
</clockDomainMember>
<clockDomainMember>
<isBridge>false</isBridge>
- <moduleName>triple_speed_ethernet_0</moduleName>
+ <moduleName>tse_mac</moduleName>
<slaveName>receive_clock_connection</slaveName>
- <name>triple_speed_ethernet_0.receive_clock_connection</name>
+ <name>tse_mac.receive_clock_connection</name>
</clockDomainMember>
<clockDomainMember>
<isBridge>false</isBridge>
- <moduleName>triple_speed_ethernet_0</moduleName>
+ <moduleName>tse_mac</moduleName>
<slaveName>transmit_clock_connection</slaveName>
- <name>triple_speed_ethernet_0.transmit_clock_connection</name>
+ <name>tse_mac.transmit_clock_connection</name>
</clockDomainMember>
<clockDomainMember>
<isBridge>false</isBridge>
- <moduleName>triple_speed_ethernet_0</moduleName>
+ <moduleName>tse_mac</moduleName>
<slaveName>control_port_clock_connection</slaveName>
- <name>triple_speed_ethernet_0.control_port_clock_connection</name>
+ <name>tse_mac.control_port_clock_connection</name>
</clockDomainMember>
<clockDomainMember>
<isBridge>false</isBridge>
@@ -275,6 +275,18 @@ parameters are a RESULT of the module parameters. -->
<slaveName>clk</slaveName>
<name>sgdma_tx.clk</name>
</clockDomainMember>
+ <clockDomainMember>
+ <isBridge>false</isBridge>
+ <moduleName>watchdog_timer</moduleName>
+ <slaveName>clk</slaveName>
+ <name>watchdog_timer.clk</name>
+ </clockDomainMember>
+ <clockDomainMember>
+ <isBridge>false</isBridge>
+ <moduleName>sysid</moduleName>
+ <slaveName>clk</slaveName>
+ <name>sysid.clk</name>
+ </clockDomainMember>
</interface>
<interface name="clk_in" kind="clock_sink" version="9.1">
<!-- The connection points exposed by a module instance for the
@@ -405,7 +417,7 @@ the requested settings for a module instance. -->
</assignment>
<assignment>
<name>embeddedsw.configuration.resetSlave</name>
- <value>cfi_flash_0.s1</value>
+ <value>sdram_0.s1</value>
</assignment>
<assignment>
<name>embeddedsw.configuration.resetOffset</name>
@@ -485,7 +497,7 @@ the requested settings for a module instance. -->
</assignment>
<assignment>
<name>embeddedsw.CMacro.RESET_ADDR</name>
- <value>0xc2400000</value>
+ <value>0xc1000000</value>
</assignment>
<assignment>
<name>embeddedsw.CMacro.BREAK_ADDR</name>
@@ -537,7 +549,7 @@ the requested settings for a module instance. -->
</assignment>
<assignment>
<name>embeddedsw.CMacro.DATA_ADDR_WIDTH</name>
- <value>26</value>
+ <value>27</value>
</assignment>
<assignment>
<name>embeddedsw.CMacro.NUM_OF_SHADOW_REG_SETS</name>
@@ -793,7 +805,7 @@ the requested settings for a module instance. -->
</parameter>
<parameter name="resetSlave">
<type>com.altera.entityinterfaces.IConnectionPoint</type>
- <value>cfi_flash_0.s1</value>
+ <value>sdram_0.s1</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -2110,7 +2122,7 @@ parameters are a RESULT of the module parameters. -->
<port>
<name>d_address</name>
<direction>Output</direction>
- <width>26</width>
+ <width>27</width>
<role>address</role>
</port>
<port>
@@ -2219,9 +2231,9 @@ parameters are a RESULT of the module parameters. -->
</memoryBlock>
<memoryBlock>
<isBridge>false</isBridge>
- <moduleName>triple_speed_ethernet_0</moduleName>
+ <moduleName>tse_mac</moduleName>
<slaveName>control_port</slaveName>
- <name>triple_speed_ethernet_0.control_port</name>
+ <name>tse_mac.control_port</name>
<baseAddress>41952256</baseAddress>
<span>1024</span>
</memoryBlock>
@@ -2246,9 +2258,25 @@ parameters are a RESULT of the module parameters. -->
<moduleName>descriptor_memory</moduleName>
<slaveName>s1</slaveName>
<name>descriptor_memory.s1</name>
- <baseAddress>0</baseAddress>
+ <baseAddress>75759616</baseAddress>
<span>8192</span>
</memoryBlock>
+ <memoryBlock>
+ <isBridge>false</isBridge>
+ <moduleName>watchdog_timer</moduleName>
+ <slaveName>s1</slaveName>
+ <name>watchdog_timer.s1</name>
+ <baseAddress>76021760</baseAddress>
+ <span>32</span>
+ </memoryBlock>
+ <memoryBlock>
+ <isBridge>false</isBridge>
+ <moduleName>sysid</moduleName>
+ <slaveName>control_slave</slaveName>
+ <name>sysid.control_slave</name>
+ <baseAddress>4759552</baseAddress>
+ <span>8</span>
+ </memoryBlock>
</interface>
<interface name="d_irq" kind="interrupt_receiver" version="9.1">
<!-- The connection points exposed by a module instance for the
@@ -2344,6 +2372,13 @@ parameters are a RESULT of the module parameters. -->
<name>sgdma_tx.csr_irq</name>
<interruptNumber>5</interruptNumber>
</interrupt>
+ <interrupt>
+ <isBridge>false</isBridge>
+ <moduleName>watchdog_timer</moduleName>
+ <slaveName>irq</slaveName>
+ <name>watchdog_timer.irq</name>
+ <interruptNumber>6</interruptNumber>
+ </interrupt>
</interface>
<interface
name="tightly_coupled_data_master_0"
@@ -2605,7 +2640,7 @@ parameters are a RESULT of the module parameters. -->
<port>
<name>dcm0_address</name>
<direction>Output</direction>
- <width>26</width>
+ <width>27</width>
<role>address</role>
</port>
<port>
@@ -8665,10 +8700,7 @@ parameters are a RESULT of the module parameters. -->
</port>
</interface>
</module>
- <module
- name="triple_speed_ethernet_0"
- kind="triple_speed_ethernet"
- version="9.1">
+ <module name="tse_mac" kind="triple_speed_ethernet" version="9.1">
<!-- Describes a single module. Module parameters are
the requested settings for a module instance. -->
<assignment>
@@ -11223,7 +11255,7 @@ parameters are a RESULT of the module parameters. -->
<moduleName>descriptor_memory</moduleName>
<slaveName>s1</slaveName>
<name>descriptor_memory.s1</name>
- <baseAddress>0</baseAddress>
+ <baseAddress>75759616</baseAddress>
<span>8192</span>
</memoryBlock>
</interface>
@@ -11510,7 +11542,7 @@ parameters are a RESULT of the module parameters. -->
<moduleName>descriptor_memory</moduleName>
<slaveName>s1</slaveName>
<name>descriptor_memory.s1</name>
- <baseAddress>0</baseAddress>
+ <baseAddress>75759616</baseAddress>
<span>8192</span>
</memoryBlock>
</interface>
@@ -13551,7 +13583,7 @@ parameters are a RESULT of the module parameters. -->
<moduleName>descriptor_memory</moduleName>
<slaveName>s1</slaveName>
<name>descriptor_memory.s1</name>
- <baseAddress>0</baseAddress>
+ <baseAddress>75759616</baseAddress>
<span>8192</span>
</memoryBlock>
</interface>
@@ -13838,7 +13870,7 @@ parameters are a RESULT of the module parameters. -->
<moduleName>descriptor_memory</moduleName>
<slaveName>s1</slaveName>
<name>descriptor_memory.s1</name>
- <baseAddress>0</baseAddress>
+ <baseAddress>75759616</baseAddress>
<span>8192</span>
</memoryBlock>
</interface>
@@ -14318,6 +14350,1059 @@ parameters are a RESULT of the module parameters. -->
</port>
</interface>
</module>
+ <module name="watchdog_timer" kind="altera_avalon_timer" version="9.1">
+ <!-- Describes a single module. Module parameters are
+the requested settings for a module instance. -->
+ <assignment>
+ <name>embeddedsw.CMacro.ALWAYS_RUN</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.FIXED_PERIOD</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.SNAPSHOT</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.PERIOD</name>
+ <value>10</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.PERIOD_UNITS</name>
+ <value>"s"</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.RESET_OUTPUT</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.TIMEOUT_PULSE_OUTPUT</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.FREQ</name>
+ <value>50000000u</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.LOAD_VALUE</name>
+ <value>499999999ULL</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.COUNTER_SIZE</name>
+ <value>32</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.MULT</name>
+ <value>1.0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.TICKS_PER_SEC</name>
+ <value>1u</value>
+ </assignment>
+ <parameter name="alwaysRun">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="counterSize">
+ <type>int</type>
+ <value>32</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="fixedPeriod">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="period">
+ <type>java.lang.String</type>
+ <value>10</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="periodUnits">
+ <type>com.altera.sopcmodel.components.avalon.AlteraAvalonTimer.AlteraAvalonTimer$TimerPeriodUnit</type>
+ <value>SEC</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="resetOutput">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="snapshot">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="systemFrequency">
+ <type>long</type>
+ <value>50000000</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timeoutPulseOutput">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timerPreset">
+ <type>com.altera.sopcmodel.components.avalon.AlteraAvalonTimer.TimerPresets</type>
+ <value>WATCHDOG</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <interface name="clk" kind="clock_sink" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="clockRate">
+ <type>java.lang.Long</type>
+ <value>50000000</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockRateKnown">
+ <type>java.lang.Boolean</type>
+ <value>true</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="externallyDriven">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="ptfSchematicName">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>clock</type>
+ <isStart>false</isStart>
+ <port>
+ <name>clk</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>clk</role>
+ </port>
+ <port>
+ <name>reset_n</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>reset_n</role>
+ </port>
+ </interface>
+ <interface name="s1" kind="avalon_slave" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <assignment>
+ <name>embeddedsw.configuration.isTimerDevice</name>
+ <value>1</value>
+ </assignment>
+ <parameter name="addressAlignment">
+ <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
+ <value>NATIVE</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressGroup">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressSpan">
+ <type>long</type>
+ <value>8</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="alwaysBurstMaxBurst">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedClock">
+ <type>java.lang.String</type>
+ <value>clk</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bitsPerSymbol">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bridgesToMaster">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstOnBurstBoundariesOnly">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstcountUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="constantBurstBehavior">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="explicitAddressSpan">
+ <type>long</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="holdTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="interleaveBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isFlash">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isMemoryDevice">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isNonVolatileStorage">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="linewrapBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maximumPendingReadTransactions">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="minimumUninterruptedRunLength">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="printableDevice">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitStates">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitTime">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerIncomingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerOutgoingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setupTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timingUnits">
+ <type>com.altera.sopcmodel.avalon.TimingUnits</type>
+ <value>Cycles</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="transparentBridge">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="wellBehavedWaitrequest">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitStates">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>avalon</type>
+ <isStart>false</isStart>
+ <port>
+ <name>address</name>
+ <direction>Input</direction>
+ <width>3</width>
+ <role>address</role>
+ </port>
+ <port>
+ <name>writedata</name>
+ <direction>Input</direction>
+ <width>16</width>
+ <role>writedata</role>
+ </port>
+ <port>
+ <name>readdata</name>
+ <direction>Output</direction>
+ <width>16</width>
+ <role>readdata</role>
+ </port>
+ <port>
+ <name>chipselect</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>chipselect</role>
+ </port>
+ <port>
+ <name>write_n</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>write_n</role>
+ </port>
+ <port>
+ <name>resetrequest</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>resetrequest</role>
+ </port>
+ </interface>
+ <interface name="irq" kind="interrupt_sender" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="associatedAddressablePoint">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value>watchdog_timer.s1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="irqScheme">
+ <type>com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme</type>
+ <value>NONE</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>interrupt</type>
+ <isStart>false</isStart>
+ <port>
+ <name>irq</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>irq</role>
+ </port>
+ </interface>
+ </module>
+ <module name="sysid" kind="altera_avalon_sysid" version="9.1">
+ <!-- Describes a single module. Module parameters are
+the requested settings for a module instance. -->
+ <assignment>
+ <name>embeddedsw.CMacro.ID</name>
+ <value>6350743u</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.TIMESTAMP</name>
+ <value>1295440990u</value>
+ </assignment>
+ <parameter name="id">
+ <type>long</type>
+ <value>6350743</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timestamp">
+ <type>long</type>
+ <value>1295440990</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <interface name="clk" kind="clock_sink" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="clockRate">
+ <type>long</type>
+ <value>0</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockRateKnown">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="externallyDriven">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="ptfSchematicName">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>clock</type>
+ <isStart>false</isStart>
+ <port>
+ <name>clock</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>clk</role>
+ </port>
+ <port>
+ <name>reset_n</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>reset_n</role>
+ </port>
+ </interface>
+ <interface name="control_slave" kind="avalon_slave" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <assignment>
+ <name>embeddedsw.configuration.isFlash</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.isMemoryDevice</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.isNonVolatileStorage</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.isPrintableDevice</name>
+ <value>0</value>
+ </assignment>
+ <parameter name="addressAlignment">
+ <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
+ <value>NATIVE</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressGroup">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressSpan">
+ <type>long</type>
+ <value>2</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="alwaysBurstMaxBurst">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedClock">
+ <type>java.lang.String</type>
+ <value>clk</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bitsPerSymbol">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bridgesToMaster">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstOnBurstBoundariesOnly">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstcountUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="constantBurstBehavior">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="explicitAddressSpan">
+ <type>long</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="holdTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="interleaveBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isFlash">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isMemoryDevice">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isNonVolatileStorage">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="linewrapBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maximumPendingReadTransactions">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="minimumUninterruptedRunLength">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="printableDevice">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitStates">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitTime">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerIncomingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerOutgoingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setupTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timingUnits">
+ <type>com.altera.sopcmodel.avalon.TimingUnits</type>
+ <value>Cycles</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="transparentBridge">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="wellBehavedWaitrequest">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitStates">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>avalon</type>
+ <isStart>false</isStart>
+ <port>
+ <name>address</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>address</role>
+ </port>
+ <port>
+ <name>readdata</name>
+ <direction>Output</direction>
+ <width>32</width>
+ <role>readdata</role>
+ </port>
+ </interface>
+ </module>
<connection
name="clk_0.clk/cpu_0.clk"
kind="clock"
@@ -15331,11 +16416,11 @@ parameters are a RESULT of the module parameters. -->
<endConnectionPoint>s2</endConnectionPoint>
</connection>
<connection
- name="clk_0.clk/triple_speed_ethernet_0.receive_clock_connection"
+ name="clk_0.clk/tse_mac.receive_clock_connection"
kind="clock"
version="9.1"
start="clk_0.clk"
- end="triple_speed_ethernet_0.receive_clock_connection">
+ end="tse_mac.receive_clock_connection">
<parameter name="deviceFamily">
<type>java.lang.String</type>
<value>UNKNOWN</value>
@@ -15354,15 +16439,15 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<startModule>clk_0</startModule>
<startConnectionPoint>clk</startConnectionPoint>
- <endModule>triple_speed_ethernet_0</endModule>
+ <endModule>tse_mac</endModule>
<endConnectionPoint>receive_clock_connection</endConnectionPoint>
</connection>
<connection
- name="clk_0.clk/triple_speed_ethernet_0.transmit_clock_connection"
+ name="clk_0.clk/tse_mac.transmit_clock_connection"
kind="clock"
version="9.1"
start="clk_0.clk"
- end="triple_speed_ethernet_0.transmit_clock_connection">
+ end="tse_mac.transmit_clock_connection">
<parameter name="deviceFamily">
<type>java.lang.String</type>
<value>UNKNOWN</value>
@@ -15381,15 +16466,15 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<startModule>clk_0</startModule>
<startConnectionPoint>clk</startConnectionPoint>
- <endModule>triple_speed_ethernet_0</endModule>
+ <endModule>tse_mac</endModule>
<endConnectionPoint>transmit_clock_connection</endConnectionPoint>
</connection>
<connection
- name="cpu_0.data_master/triple_speed_ethernet_0.control_port"
+ name="cpu_0.data_master/tse_mac.control_port"
kind="avalon"
version="6.1"
start="cpu_0.data_master"
- end="triple_speed_ethernet_0.control_port">
+ end="tse_mac.control_port">
<parameter name="arbitrationPriority">
<type>int</type>
<value>1</value>
@@ -15424,15 +16509,15 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<startModule>cpu_0</startModule>
<startConnectionPoint>data_master</startConnectionPoint>
- <endModule>triple_speed_ethernet_0</endModule>
+ <endModule>tse_mac</endModule>
<endConnectionPoint>control_port</endConnectionPoint>
</connection>
<connection
- name="clk_0.clk/triple_speed_ethernet_0.control_port_clock_connection"
+ name="clk_0.clk/tse_mac.control_port_clock_connection"
kind="clock"
version="9.1"
start="clk_0.clk"
- end="triple_speed_ethernet_0.control_port_clock_connection">
+ end="tse_mac.control_port_clock_connection">
<parameter name="deviceFamily">
<type>java.lang.String</type>
<value>UNKNOWN</value>
@@ -15451,7 +16536,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<startModule>clk_0</startModule>
<startConnectionPoint>clk</startConnectionPoint>
- <endModule>triple_speed_ethernet_0</endModule>
+ <endModule>tse_mac</endModule>
<endConnectionPoint>control_port_clock_connection</endConnectionPoint>
</connection>
<connection
@@ -15707,7 +16792,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x0000</value>
+ <value>0x04840000</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -15750,7 +16835,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x0000</value>
+ <value>0x04840000</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -15821,10 +16906,10 @@ parameters are a RESULT of the module parameters. -->
<endConnectionPoint>s1</endConnectionPoint>
</connection>
<connection
- name="triple_speed_ethernet_0.receive/sgdma_rx.in"
+ name="tse_mac.receive/sgdma_rx.in"
kind="avalon_streaming"
version="9.1"
- start="triple_speed_ethernet_0.receive"
+ start="tse_mac.receive"
end="sgdma_rx.in">
<parameter name="deviceFamily">
<type>java.lang.String</type>
@@ -15842,17 +16927,17 @@ parameters are a RESULT of the module parameters. -->
<visible>true</visible>
<valid>true</valid>
</parameter>
- <startModule>triple_speed_ethernet_0</startModule>
+ <startModule>tse_mac</startModule>
<startConnectionPoint>receive</startConnectionPoint>
<endModule>sgdma_rx</endModule>
<endConnectionPoint>in</endConnectionPoint>
</connection>
<connection
- name="sgdma_tx.out/triple_speed_ethernet_0.transmit"
+ name="sgdma_tx.out/tse_mac.transmit"
kind="avalon_streaming"
version="9.1"
start="sgdma_tx.out"
- end="triple_speed_ethernet_0.transmit">
+ end="tse_mac.transmit">
<parameter name="deviceFamily">
<type>java.lang.String</type>
<value>UNKNOWN</value>
@@ -15871,7 +16956,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<startModule>sgdma_tx</startModule>
<startConnectionPoint>out</startConnectionPoint>
- <endModule>triple_speed_ethernet_0</endModule>
+ <endModule>tse_mac</endModule>
<endConnectionPoint>transmit</endConnectionPoint>
</connection>
<connection
@@ -15933,7 +17018,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x0000</value>
+ <value>0x04840000</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -15976,7 +17061,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x0000</value>
+ <value>0x04840000</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -16019,7 +17104,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x0000</value>
+ <value>0x04840000</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -16046,16 +17131,183 @@ parameters are a RESULT of the module parameters. -->
<endModule>descriptor_memory</endModule>
<endConnectionPoint>s1</endConnectionPoint>
</connection>
+ <connection
+ name="clk_0.clk/watchdog_timer.clk"
+ kind="clock"
+ version="9.1"
+ start="clk_0.clk"
+ end="watchdog_timer.clk">
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>clk_0</startModule>
+ <startConnectionPoint>clk</startConnectionPoint>
+ <endModule>watchdog_timer</endModule>
+ <endConnectionPoint>clk</endConnectionPoint>
+ </connection>
+ <connection
+ name="cpu_0.data_master/watchdog_timer.s1"
+ kind="avalon"
+ version="6.1"
+ start="cpu_0.data_master"
+ end="watchdog_timer.s1">
+ <parameter name="arbitrationPriority">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="baseAddress">
+ <type>long</type>
+ <value>0x04880000</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>cpu_0</startModule>
+ <startConnectionPoint>data_master</startConnectionPoint>
+ <endModule>watchdog_timer</endModule>
+ <endConnectionPoint>s1</endConnectionPoint>
+ </connection>
+ <connection
+ name="cpu_0.d_irq/watchdog_timer.irq"
+ kind="interrupt"
+ version="9.1"
+ start="cpu_0.d_irq"
+ end="watchdog_timer.irq">
+ <parameter name="irqNumber">
+ <type>int</type>
+ <value>6</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>cpu_0</startModule>
+ <startConnectionPoint>d_irq</startConnectionPoint>
+ <endModule>watchdog_timer</endModule>
+ <endConnectionPoint>irq</endConnectionPoint>
+ </connection>
+ <connection
+ name="clk_0.clk/sysid.clk"
+ kind="clock"
+ version="9.1"
+ start="clk_0.clk"
+ end="sysid.clk">
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>clk_0</startModule>
+ <startConnectionPoint>clk</startConnectionPoint>
+ <endModule>sysid</endModule>
+ <endConnectionPoint>clk</endConnectionPoint>
+ </connection>
+ <connection
+ name="cpu_0.data_master/sysid.control_slave"
+ kind="avalon"
+ version="6.1"
+ start="cpu_0.data_master"
+ end="sysid.control_slave">
+ <parameter name="arbitrationPriority">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="baseAddress">
+ <type>long</type>
+ <value>0x0048a000</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>cpu_0</startModule>
+ <startConnectionPoint>data_master</startConnectionPoint>
+ <endModule>sysid</endModule>
+ <endConnectionPoint>control_slave</endConnectionPoint>
+ </connection>
<plugin>
- <instanceCount>1</instanceCount>
- <name>interrupt_receiver</name>
- <type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Interrupt Receiver</displayName>
- <version>9.1</version>
- </plugin>
- <plugin>
- <instanceCount>6</instanceCount>
+ <instanceCount>7</instanceCount>
<name>interrupt</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IConnection</subtype>
@@ -16064,74 +17316,82 @@ parameters are a RESULT of the module parameters. -->
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_nios2</name>
+ <name>altera_avalon_tri_state_bridge</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Nios II Processor</displayName>
+ <displayName>Avalon-MM Tristate Bridge</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>10</instanceCount>
- <name>avalon_master</name>
+ <instanceCount>4</instanceCount>
+ <name>conduit</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Memory Mapped Master</displayName>
- <version>9.1</version>
+ <displayName>Conduit Endpoint</displayName>
+ <version>7.1</version>
</plugin>
<plugin>
- <instanceCount>2</instanceCount>
- <name>avalon_streaming_sink</name>
+ <instanceCount>1</instanceCount>
+ <name>interrupt_receiver</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Streaming Sink</displayName>
+ <displayName>Interrupt Receiver</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_jtag_uart</name>
+ <name>altera_avalon_new_sdram_controller</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>JTAG UART</displayName>
+ <displayName>SDRAM Controller</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_timer</name>
+ <name>avalon_tristate_slave</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Interval Timer</displayName>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Avalon Memory Mapped Tristate Slave</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>triple_speed_ethernet</name>
+ <name>altera_avalon_jtag_uart</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Triple-Speed Ethernet</displayName>
+ <displayName>JTAG UART</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>avalon_tristate</name>
+ <name>altera_nios2</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IConnection</subtype>
- <displayName>Avalon Memory Mapped Tristate Connection</displayName>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>Nios II Processor</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>1</instanceCount>
- <name>nios_custom_instruction_master</name>
+ <instanceCount>7</instanceCount>
+ <name>interrupt_sender</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Custom Instruction Master</displayName>
+ <displayName>Interrupt Sender</displayName>
<version>9.1</version>
</plugin>
<plugin>
+ <instanceCount>25</instanceCount>
+ <name>avalon</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IConnection</subtype>
+ <displayName>Avalon Memory Mapped Connection</displayName>
+ <version>6.1</version>
+ </plugin>
+ <plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_uart</name>
+ <name>avalon_tristate_master</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>UART (RS-232 Serial Port)</displayName>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Avalon Memory Mapped Tristate Master</displayName>
<version>9.1</version>
</plugin>
<plugin>
@@ -16143,51 +17403,35 @@ parameters are a RESULT of the module parameters. -->
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>4</instanceCount>
- <name>conduit</name>
- <type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Conduit Endpoint</displayName>
- <version>7.1</version>
- </plugin>
- <plugin>
<instanceCount>2</instanceCount>
- <name>avalon_streaming_source</name>
+ <name>altera_avalon_onchip_memory2</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Streaming Source</displayName>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>On-Chip Memory (RAM or ROM)</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>clock_source</name>
+ <name>triple_speed_ethernet</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Clock Source</displayName>
- <version>9.1</version>
- </plugin>
- <plugin>
- <instanceCount>6</instanceCount>
- <name>interrupt_sender</name>
- <type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Interrupt Sender</displayName>
+ <displayName>Triple-Speed Ethernet</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>avalon_tristate_master</name>
+ <name>avalon_tristate</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Memory Mapped Tristate Master</displayName>
+ <subtype>com.altera.entityinterfaces.IConnection</subtype>
+ <displayName>Avalon Memory Mapped Tristate Connection</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>avalon_tristate_slave</name>
+ <name>nios_custom_instruction_master</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Memory Mapped Tristate Slave</displayName>
+ <displayName>Custom Instruction Master</displayName>
<version>9.1</version>
</plugin>
<plugin>
@@ -16199,11 +17443,19 @@ parameters are a RESULT of the module parameters. -->
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>1</instanceCount>
- <name>altera_avalon_tri_state_bridge</name>
+ <instanceCount>10</instanceCount>
+ <name>avalon_master</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Avalon-MM Tristate Bridge</displayName>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Avalon Memory Mapped Master</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>2</instanceCount>
+ <name>avalon_streaming_source</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Avalon Streaming Source</displayName>
<version>9.1</version>
</plugin>
<plugin>
@@ -16215,7 +17467,7 @@ parameters are a RESULT of the module parameters. -->
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>16</instanceCount>
+ <instanceCount>18</instanceCount>
<name>clock</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IConnection</subtype>
@@ -16223,27 +17475,19 @@ parameters are a RESULT of the module parameters. -->
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>23</instanceCount>
- <name>avalon</name>
- <type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IConnection</subtype>
- <displayName>Avalon Memory Mapped Connection</displayName>
- <version>6.1</version>
- </plugin>
- <plugin>
- <instanceCount>13</instanceCount>
- <name>avalon_slave</name>
+ <instanceCount>19</instanceCount>
+ <name>clock_sink</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Memory Mapped Slave</displayName>
+ <displayName>Clock Input</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>2</instanceCount>
- <name>altera_avalon_onchip_memory2</name>
+ <instanceCount>1</instanceCount>
+ <name>clock_source</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>On-Chip Memory (RAM or ROM)</displayName>
+ <displayName>Clock Source</displayName>
<version>9.1</version>
</plugin>
<plugin>
@@ -16255,11 +17499,11 @@ parameters are a RESULT of the module parameters. -->
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>1</instanceCount>
- <name>altera_avalon_new_sdram_controller</name>
+ <instanceCount>15</instanceCount>
+ <name>avalon_slave</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>SDRAM Controller</displayName>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Avalon Memory Mapped Slave</displayName>
<version>9.1</version>
</plugin>
<plugin>
@@ -16271,13 +17515,37 @@ parameters are a RESULT of the module parameters. -->
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>17</instanceCount>
- <name>clock_sink</name>
+ <instanceCount>1</instanceCount>
+ <name>altera_avalon_sysid</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>System ID Peripheral</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>1</instanceCount>
+ <name>altera_avalon_uart</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>UART (RS-232 Serial Port)</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>2</instanceCount>
+ <name>altera_avalon_timer</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>Interval Timer</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>2</instanceCount>
+ <name>avalon_streaming_sink</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Clock Input</displayName>
+ <displayName>Avalon Streaming Sink</displayName>
<version>9.1</version>
</plugin>
<reportVersion>9.1sp2 350</reportVersion>
- <uniqueIdentifier>0023AE674DE00000012A3232FDE1</uniqueIdentifier>
+ <uniqueIdentifier>0023AE674DE00000012D9E4A9A2B</uniqueIdentifier>
</EnsembleReport>