From 2cd112c49d5c46c470954bf99f149ecf1e8a9413 Mon Sep 17 00:00:00 2001 From: Tobias Klauser Date: Tue, 2 Aug 2011 11:42:24 +0200 Subject: Hook up ISP1362 and support 64MB RAM --- dionysos_top.vhd | 38 +- quartus/dionysos_nios2mmu.qsf | 58 +- quartus/dionysos_nios2mmu.sdc | 6 + quartus/dionysos_nios2mmu.sopc | 180 +++- quartus/dionysos_nios2mmu.sopcinfo | 2073 +++++++++++++++++++++++++++++------- 5 files changed, 1857 insertions(+), 498 deletions(-) diff --git a/dionysos_top.vhd b/dionysos_top.vhd index 6924a30..88f8e1f 100644 --- a/dionysos_top.vhd +++ b/dionysos_top.vhd @@ -99,6 +99,24 @@ entity dionysos_top is dram_ba1_n_o : out std_logic; --! SDRAM Bank Address 0 --@} + --!@name ISP1362 Interface + --@{ + --! \anchor isp1362_grp + otg_d_io : inout std_logic_vector(15 downto 0); --! ISP1362 Data bus 16 Bits + otg_a_o : out std_logic_vector(1 downto 0); --! ISP1362 Address 2 Bits + otg_cs_n_o : out std_logic; --! ISP1362 Chip Select + otg_oe_n_o : out std_logic; --! ISP1362 Write + otg_we_n_o : out std_logic; --! ISP1362 Read + otg_reset_n_o : out std_logic; --! ISP1362 Reset + otg_int0_i : in std_logic; --! ISP1362 Interrupt 0 + otg_int1_i : in std_logic; --! ISP1362 Interrupt 1 + otg_dreq0_i : in std_logic; --! ISP1362 DMA Request 0 + otg_dreq1_i : in std_logic; --! ISP1362 DMA Request 1 + otg_dack0_n_o : out std_logic; --! ISP1362 DMA Acknowledge 0 + otg_dack1_n_o : out std_logic; --! ISP1362 DMA Acknowledge 1 + usb_clk_i : in std_logic; --! 12MHz USB clock + --@} + --!@name Flash Interface --@{ --! \anchor flash_grp @@ -387,7 +405,8 @@ architecture rtl of dionysos_top is reset_n => reset_nios_n, -- sdram_0 - zs_addr_from_the_sdram_0 => dram_a_o(11 downto 0), -- dram_a_o(12) is for 32Mbit SDRAM + zs_addr_from_the_sdram_0 => dram_a_o(12 downto 0), -- 64MB +-- zs_addr_from_the_sdram_0 => dram_a_o(11 downto 0), -- 16MB zs_ba_from_the_sdram_0 => dram_ba, zs_cas_n_from_the_sdram_0 => dram_cas_n_o, zs_cke_from_the_sdram_0 => dram_cke_o, @@ -439,7 +458,22 @@ architecture rtl of dionysos_top is rx_clk_to_the_tse_mac => pll_clk_25, set_1000_to_the_tse_mac => '0', -- tie to 0 if not used set_10_to_the_tse_mac => '0', -- tie to 0 if not used - tx_clk_to_the_tse_mac => pll_clk_25 + tx_clk_to_the_tse_mac => pll_clk_25, + + -- ISP1362 USB OTG controller + OTG_ADDR_from_the_ISP1362 => otg_a_o, + OTG_CS_N_from_the_ISP1362 => otg_cs_n_o, + OTG_DACK0_N_from_the_ISP1362 => otg_dack0_n_o, + OTG_DACK1_N_from_the_ISP1362 => otg_dack1_n_o, + OTG_DATA_to_and_from_the_ISP1362 => otg_d_io, + OTG_FSPEED_from_the_ISP1362 => open, + OTG_LSPEED_from_the_ISP1362 => open, + OTG_RD_N_from_the_ISP1362 => otg_oe_n_o, + OTG_RST_N_from_the_ISP1362 => otg_reset_n_o, + OTG_WR_N_from_the_ISP1362 => otg_we_n_o, + OTG_INT0_to_the_ISP1362 => otg_int0_i, + OTG_INT1_to_the_ISP1362 => otg_int1_i + ); --------------------------------------------------------------------- diff --git a/quartus/dionysos_nios2mmu.qsf b/quartus/dionysos_nios2mmu.qsf index f9bb63c..4e44174 100644 --- a/quartus/dionysos_nios2mmu.qsf +++ b/quartus/dionysos_nios2mmu.qsf @@ -56,7 +56,7 @@ set_global_assignment -name NUM_PARALLEL_PROCESSORS ALL set_location_assignment PIN_G2 -to clock_50_i set_location_assignment PIN_T2 -to et_phy1_tx_clk_1_i set_location_assignment PIN_T1 -to et_phy1_rx_clk_1_i -#set_location_assignment PIN_G21 -to usb_clk_i +set_location_assignment PIN_G21 -to usb_clk_i #set_location_assignment PIN_G22 -to sdfe_clk_20mhz_i set_location_assignment PIN_T21 -to et_phy2_rx_clk_2_i set_location_assignment PIN_T22 -to et_phy2_tx_clk_2_i @@ -192,34 +192,34 @@ set_location_assignment PIN_AA10 -to gen_led_g_o[3] # FPGA bank 4 # ----------- -#set_location_assignment PIN_AA13 -to otg_d_io[0] -#set_location_assignment PIN_AB13 -to otg_d_io[1] -#set_location_assignment PIN_AA14 -to otg_d_io[2] -#set_location_assignment PIN_AB14 -to otg_d_io[3] -#set_location_assignment PIN_V12 -to otg_d_io[4] -#set_location_assignment PIN_W13 -to otg_d_io[5] -#set_location_assignment PIN_Y13 -to otg_d_io[6] -#set_location_assignment PIN_AA15 -to otg_d_io[7] -#set_location_assignment PIN_AB15 -to otg_d_io[8] -#set_location_assignment PIN_U12 -to otg_d_io[9] -#set_location_assignment PIN_AA16 -to otg_d_io[10] -#set_location_assignment PIN_AB16 -to otg_d_io[11] -#set_location_assignment PIN_T12 -to otg_d_io[12] -#set_location_assignment PIN_T13 -to otg_d_io[13] -#set_location_assignment PIN_V13 -to otg_d_io[14] -#set_location_assignment PIN_W14 -to otg_d_io[15] -#set_location_assignment PIN_U13 -to otg_a_o[0] -#set_location_assignment PIN_V14 -to otg_a_o[1] -#set_location_assignment PIN_U14 -to otg_cs_n_o -#set_location_assignment PIN_U15 -to otg_we_n_o -#set_location_assignment PIN_V15 -to otg_oe_n_o -#set_location_assignment PIN_W15 -to otg_int0_i -#set_location_assignment PIN_T14 -to otg_int1_i -#set_location_assignment PIN_T15 -to otg_reset_n_o -#set_location_assignment PIN_AB18 -to otg_dreq0_i -#set_location_assignment PIN_AA17 -to otg_dreq1_i -#set_location_assignment PIN_AB17 -to otg_dack0_n_o -#set_location_assignment PIN_AA18 -to otg_dack1_n_o +set_location_assignment PIN_AA13 -to otg_d_io[0] +set_location_assignment PIN_AB13 -to otg_d_io[1] +set_location_assignment PIN_AA14 -to otg_d_io[2] +set_location_assignment PIN_AB14 -to otg_d_io[3] +set_location_assignment PIN_V12 -to otg_d_io[4] +set_location_assignment PIN_W13 -to otg_d_io[5] +set_location_assignment PIN_Y13 -to otg_d_io[6] +set_location_assignment PIN_AA15 -to otg_d_io[7] +set_location_assignment PIN_AB15 -to otg_d_io[8] +set_location_assignment PIN_U12 -to otg_d_io[9] +set_location_assignment PIN_AA16 -to otg_d_io[10] +set_location_assignment PIN_AB16 -to otg_d_io[11] +set_location_assignment PIN_T12 -to otg_d_io[12] +set_location_assignment PIN_T13 -to otg_d_io[13] +set_location_assignment PIN_V13 -to otg_d_io[14] +set_location_assignment PIN_W14 -to otg_d_io[15] +set_location_assignment PIN_U13 -to otg_a_o[0] +set_location_assignment PIN_V14 -to otg_a_o[1] +set_location_assignment PIN_U14 -to otg_cs_n_o +set_location_assignment PIN_U15 -to otg_we_n_o +set_location_assignment PIN_V15 -to otg_oe_n_o +set_location_assignment PIN_W15 -to otg_int0_i +set_location_assignment PIN_T14 -to otg_int1_i +set_location_assignment PIN_T15 -to otg_reset_n_o +set_location_assignment PIN_AB18 -to otg_dreq0_i +set_location_assignment PIN_AA17 -to otg_dreq1_i +set_location_assignment PIN_AB17 -to otg_dack0_n_o +set_location_assignment PIN_AA18 -to otg_dack1_n_o set_location_assignment PIN_AB19 -to et_phy2_rx_dv_1_i set_location_assignment PIN_W17 -to gen_led_r_o[6] set_location_assignment PIN_Y17 -to et_phy2_tx_en_1_o diff --git a/quartus/dionysos_nios2mmu.sdc b/quartus/dionysos_nios2mmu.sdc index dab2e78..2fe3a25 100644 --- a/quartus/dionysos_nios2mmu.sdc +++ b/quartus/dionysos_nios2mmu.sdc @@ -167,6 +167,12 @@ set_false_path -from [get_ports {switch_i[*]}] #------------------- set_false_path -from [get_ports {reserve?_i}] +# Philips ISP 1362 OTG Controller +# timing is to do in SOPC System +# ------------------- +set_false_path -from [get_ports {otg_d_io[*] otg_int0_i otg_int1_i otg_dreq0_i otg_dreq1_i usb_clk_i}] +set_false_path -to [get_ports {otg_d_io[*] otg_a_o[*] otg_cs_n_o otg_oe_n_o otg_we_n_o otg_reset_n_o otg_dack0_n_o otg_dack1_n_o}] + # Parallel Flash (S29AL032D 70TF100) # timing is to do in SOPC System #------------------- diff --git a/quartus/dionysos_nios2mmu.sopc b/quartus/dionysos_nios2mmu.sopc index 82b9b0b..9d5005e 100644 --- a/quartus/dionysos_nios2mmu.sopc +++ b/quartus/dionysos_nios2mmu.sopc @@ -2,6 +2,14 @@ dionysos_nios2mmu.qpf - - + + @@ -462,7 +496,7 @@ - + @@ -505,9 +539,9 @@ - + - + @@ -518,7 +552,7 @@ - + - + @@ -604,7 +638,7 @@ - + @@ -738,7 +772,7 @@ - + @@ -746,6 +780,7 @@ + - + - + - + - + - + - + - + - + @@ -853,7 +888,7 @@ - + @@ -865,7 +900,7 @@ start="cpu_0.data_master" end="jtag_uart_0.avalon_jtag_slave"> - + - + - + - + - + - + - + - + - + + + + + + + + + + + + + + + + diff --git a/quartus/dionysos_nios2mmu.sopcinfo b/quartus/dionysos_nios2mmu.sopcinfo index a2f3b38..b036bb4 100644 --- a/quartus/dionysos_nios2mmu.sopcinfo +++ b/quartus/dionysos_nios2mmu.sopcinfo @@ -4,7 +4,7 @@ kind="com_altera_sopcmodel_ensemble_Ensemble" version="9.1"> - + com.altera.entityinterfaces.moduleext.IDeviceFamily$EDeviceFamily @@ -48,7 +48,7 @@ long - -77631020099 + -88262254885 false true true @@ -56,7 +56,7 @@ long - 1295459557215 + 1308843850996 false true true @@ -287,6 +287,12 @@ parameters are a RESULT of the module parameters. --> clk sysid.clk + + false + ISP1362 + clock_reset + ISP1362.clock_reset + embeddedsw.CMacro.PROCESS_ID_NUM_BITS - 8 + 10 embeddedsw.CMacro.TLB_NUM_WAYS @@ -481,7 +487,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.FAST_TLB_MISS_EXCEPTION_ADDR - 0xc2802000 + 0xc4802000 embeddedsw.configuration.mmu_TLBMissExcSlave @@ -493,15 +499,15 @@ the requested settings for a module instance. --> embeddedsw.CMacro.EXCEPTION_ADDR - 0xc1000020 + 0xc0000020 embeddedsw.CMacro.RESET_ADDR - 0xc2400000 + 0xc4000000 embeddedsw.CMacro.BREAK_ADDR - 0xc2801020 + 0xc4800020 embeddedsw.CMacro.HAS_DEBUG_STUB @@ -545,11 +551,11 @@ the requested settings for a module instance. --> embeddedsw.CMacro.INST_ADDR_WIDTH - 26 + 27 embeddedsw.CMacro.DATA_ADDR_WIDTH - 27 + 28 embeddedsw.CMacro.NUM_OF_SHADOW_REG_SETS @@ -917,7 +923,7 @@ the requested settings for a module instance. --> com.altera.nios2.components.Nios2MMU$OptProcessIDNumBits - _8 + _10 false true true @@ -1503,7 +1509,7 @@ parameters are a RESULT of the module parameters. --> i_address Output - 26 + 27 address @@ -1535,7 +1541,7 @@ parameters are a RESULT of the module parameters. --> cpu_0 jtag_debug_module cpu_0.jtag_debug_module - 41947136 + 75497472 2048 @@ -1543,15 +1549,15 @@ parameters are a RESULT of the module parameters. --> sdram_0 s1 sdram_0.s1 - 16777216 - 16777216 + 0 + 67108864 false cfi_flash_0 s1 cfi_flash_0.s1 - 37748736 + 67108864 4194304 @@ -1559,7 +1565,7 @@ parameters are a RESULT of the module parameters. --> epcs_flash_controller_0 epcs_control_port epcs_flash_controller_0.epcs_control_port - 41949184 + 83886080 2048 @@ -1823,7 +1829,7 @@ parameters are a RESULT of the module parameters. --> icm0_address Output - 26 + 27 address @@ -1861,8 +1867,8 @@ parameters are a RESULT of the module parameters. --> onchip_memory2_0 s1 onchip_memory2_0.s1 - 41951232 - 1024 + 75505664 + 2048 @@ -2122,7 +2128,7 @@ parameters are a RESULT of the module parameters. --> d_address Output - 27 + 28 address @@ -2178,7 +2184,7 @@ parameters are a RESULT of the module parameters. --> cpu_0 jtag_debug_module cpu_0.jtag_debug_module - 41947136 + 75497472 2048 @@ -2186,15 +2192,15 @@ parameters are a RESULT of the module parameters. --> sdram_0 s1 sdram_0.s1 - 16777216 - 16777216 + 0 + 67108864 false cfi_flash_0 s1 cfi_flash_0.s1 - 37748736 + 67108864 4194304 @@ -2202,7 +2208,7 @@ parameters are a RESULT of the module parameters. --> epcs_flash_controller_0 epcs_control_port epcs_flash_controller_0.epcs_control_port - 41949184 + 83886080 2048 @@ -2210,7 +2216,7 @@ parameters are a RESULT of the module parameters. --> timer_0 s1 timer_0.s1 - 41953408 + 71303168 32 @@ -2218,7 +2224,7 @@ parameters are a RESULT of the module parameters. --> uart_0 s1 uart_0.s1 - 41953440 + 100663296 32 @@ -2226,7 +2232,7 @@ parameters are a RESULT of the module parameters. --> jtag_uart_0 avalon_jtag_slave jtag_uart_0.avalon_jtag_slave - 41953472 + 117440512 8 @@ -2234,7 +2240,7 @@ parameters are a RESULT of the module parameters. --> tse_mac control_port tse_mac.control_port - 41952256 + 134226944 1024 @@ -2242,7 +2248,7 @@ parameters are a RESULT of the module parameters. --> sgdma_rx csr sgdma_rx.csr - 41953280 + 135266304 64 @@ -2250,7 +2256,7 @@ parameters are a RESULT of the module parameters. --> sgdma_tx csr sgdma_tx.csr - 41953344 + 136314880 64 @@ -2274,9 +2280,25 @@ parameters are a RESULT of the module parameters. --> sysid control_slave sysid.control_slave - 4759552 + 134217728 8 + + false + ISP1362 + avalon_slave_0 + ISP1362.avalon_slave_0 + 136445952 + 16 + + + false + ISP1362 + avalon_slave_1 + ISP1362.avalon_slave_1 + 136445984 + 4 + watchdog_timer.irq 6 + + false + ISP1362 + interrupt_sender_1 + ISP1362.interrupt_sender_1 + 8 + + + false + ISP1362 + interrupt_sender_0 + ISP1362.interrupt_sender_0 + 7 + dcm0_address Output - 27 + 28 address @@ -2696,8 +2732,8 @@ parameters are a RESULT of the module parameters. --> onchip_memory2_0 s2 onchip_memory2_0.s2 - 41951232 - 1024 + 75505664 + 2048 @@ -3228,7 +3264,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.SIM_MODEL_BASE - 1 + 0 embeddedsw.CMacro.SDRAM_DATA_WIDTH @@ -3236,15 +3272,15 @@ the requested settings for a module instance. --> embeddedsw.CMacro.SDRAM_ADDR_WIDTH - 23 + 25 embeddedsw.CMacro.SDRAM_ROW_WIDTH - 12 + 13 embeddedsw.CMacro.SDRAM_COL_WIDTH - 9 + 10 embeddedsw.CMacro.SDRAM_NUM_CHIPSELECTS @@ -3400,7 +3436,7 @@ the requested settings for a module instance. --> int - 9 + 10 false true true @@ -3416,7 +3452,7 @@ the requested settings for a module instance. --> boolean - true + false false true true @@ -3504,7 +3540,7 @@ the requested settings for a module instance. --> int - 12 + 13 false true true @@ -3512,7 +3548,7 @@ the requested settings for a module instance. --> long - 16777216 + 67108864 true true true @@ -3627,7 +3663,7 @@ parameters are a RESULT of the module parameters. --> long - 16777216 + 67108864 true true false @@ -3910,7 +3946,7 @@ parameters are a RESULT of the module parameters. --> az_addr Input - 23 + 25 address @@ -3987,7 +4023,7 @@ parameters are a RESULT of the module parameters. --> zs_addr Output - 12 + 13 export @@ -4583,7 +4619,7 @@ parameters are a RESULT of the module parameters. --> cfi_flash_0 s1 cfi_flash_0.s1 - 37748736 + 67108864 4194304 @@ -5794,7 +5830,7 @@ the requested settings for a module instance. --> boolean false false - true + false true true @@ -5810,7 +5846,7 @@ the requested settings for a module instance. --> boolean false false - true + false true true @@ -5834,7 +5870,7 @@ the requested settings for a module instance. --> boolean false false - true + false true true @@ -5842,7 +5878,7 @@ the requested settings for a module instance. --> boolean true false - true + false true true @@ -5858,13 +5894,13 @@ the requested settings for a module instance. --> boolean false false - true + false true true com.altera.sopcmodel.components.avalon.AlteraAvalonTimer.TimerPresets - CUSTOM + FULL_FEATURED false true true @@ -7681,7 +7717,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.SIZE_VALUE - 1024u + 2048u embeddedsw.CMacro.SIZE_MULTIPLE @@ -7801,7 +7837,7 @@ the requested settings for a module instance. --> long - 1024 + 2048 false true true @@ -7962,7 +7998,7 @@ parameters are a RESULT of the module parameters. --> long - 1024 + 2048 true true false @@ -8042,7 +8078,7 @@ parameters are a RESULT of the module parameters. --> long - 1024 + 2048 false true true @@ -8245,7 +8281,7 @@ parameters are a RESULT of the module parameters. --> address Input - 8 + 9 address @@ -8376,7 +8412,7 @@ parameters are a RESULT of the module parameters. --> long - 1024 + 2048 true true false @@ -8456,7 +8492,7 @@ parameters are a RESULT of the module parameters. --> long - 1024 + 2048 false true true @@ -8659,7 +8695,7 @@ parameters are a RESULT of the module parameters. --> address2 Input - 8 + 9 address @@ -11882,8 +11918,8 @@ parameters are a RESULT of the module parameters. --> sdram_0 s1 sdram_0.s1 - 16777216 - 16777216 + 0 + 67108864 @@ -14216,8 +14252,8 @@ parameters are a RESULT of the module parameters. --> sdram_0 s1 sdram_0.s1 - 16777216 - 16777216 + 0 + 67108864 @@ -14367,7 +14403,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.PERIOD - 10 + 5 embeddedsw.CMacro.PERIOD_UNITS @@ -14387,7 +14423,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.LOAD_VALUE - 499999999ULL + 249999999ULL embeddedsw.CMacro.COUNTER_SIZE @@ -14427,7 +14463,7 @@ the requested settings for a module instance. --> java.lang.String - 10 + 5 false true true @@ -14966,15 +15002,15 @@ parameters are a RESULT of the module parameters. --> the requested settings for a module instance. --> embeddedsw.CMacro.ID - 321608807u + 215425358u embeddedsw.CMacro.TIMESTAMP - 1295459557u + 1308843851u long - 321608807 + 215425358 true true true @@ -14982,7 +15018,7 @@ the requested settings for a module instance. --> long - 1295459557 + 1308843851 true true true @@ -15403,174 +15439,31 @@ parameters are a RESULT of the module parameters. --> - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clk_0 - clk - cpu_0 - clk - - - - int - 1 - false - true - true - true - - - long - 0x02801000 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - cpu_0 - instruction_master - cpu_0 - jtag_debug_module - - - - int - 1 - false - true - true - true - - - long - 0x02801000 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false + + + + java.lang.Long + 50000000 false true - true + false true - cpu_0 - data_master - cpu_0 - jtag_debug_module - - - + java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clk_0 - clk - sdram_0 - clk - - - - int - 1 - false - true - true - true - - - long - 0x01000000 + Cyclone III false true - true + false true java.lang.String - UNKNOWN + Cyclone III false true - true + false true @@ -15581,40 +15474,1173 @@ parameters are a RESULT of the module parameters. --> true true - cpu_0 - instruction_master - sdram_0 - s1 - - - - int - 1 - false - true - true - true - - - long - 0x01000000 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true + + + + java.lang.Long + 50000000 + true + true + false + true + + + java.lang.Boolean + true + true + true + false + true + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clock + false + + clk + Input + 1 + clk + + + reset_n + Input + 1 + reset_n + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + NATIVE + false + true + true + true + + + int + 0 + false + true + false + true + + + long + 4 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + false + true + + + boolean + false + false + true + false + true + + + java.lang.String + clock_reset + false + true + false + true + + + java.lang.String + + false + true + false + true + + + int + 8 + false + true + false + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + false + true + + + boolean + true + false + true + false + true + + + long + 0 + false + true + true + true + + + int + 100 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + true + true + true + + + int + 100 + false + true + false + true + + + int + 100 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 100 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Nanoseconds + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 100 + false + true + false + true + + + int + 100 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + address + Input + 2 + address + + + readdata + Output + 16 + readdata + + + writedata + Input + 16 + writedata + + + chipselect_n + Input + 1 + chipselect_n + + + read_n + Input + 1 + read_n + + + write_n + Input + 1 + write_n + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + NATIVE + false + true + true + true + + + int + 0 + false + true + false + true + + + long + 1 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + false + true + + + boolean + false + false + true + false + true + + + java.lang.String + clock_reset + false + true + false + true + + + java.lang.String + + false + true + false + true + + + int + 8 + false + true + false + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + false + true + + + boolean + true + false + true + false + true + + + long + 0 + false + true + true + true + + + int + 100 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + true + true + true + + + int + 100 + false + true + false + true + + + int + 100 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 100 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Nanoseconds + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 100 + false + true + false + true + + + int + 100 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + write_n_avalon_slave_1 + Input + 1 + write_n + + + writedata_avalon_slave_1 + Input + 8 + writedata + + + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + conduit + false + + OTG_ADDR + Output + 2 + export + + + OTG_DATA + Bidir + 16 + export + + + OTG_CS_N + Output + 1 + export + + + OTG_RD_N + Output + 1 + export + + + OTG_WR_N + Output + 1 + export + + + OTG_RST_N + Output + 1 + export + + + OTG_INT0 + Input + 1 + export + + + OTG_INT1 + Input + 1 + export + + + OTG_FSPEED + Output + 1 + export + + + OTG_LSPEED + Output + 1 + export + + + OTG_DACK0_N + Output + 1 + export + + + OTG_DACK1_N + Output + 1 + export + + + + + + com.altera.entityinterfaces.IConnectionPoint + ISP1362.avalon_slave_1 + false + true + true + true + + + java.lang.String + + false + true + false + true + + + com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme + NONE + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + interrupt + false + + irq_n_avalon_slave_1 + Output + 1 + irq_n + + + + + + com.altera.entityinterfaces.IConnectionPoint + ISP1362.avalon_slave_0 + false + true + true + true + + + java.lang.String + + false + true + false + true + + + com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme + NONE + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + interrupt + false + + irq_n + Output + 1 + irq_n + + + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + cpu_0 + clk + + + + int + 1 + false + true + true + true + + + long + 0x04800000 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + cpu_0 + instruction_master + cpu_0 + jtag_debug_module + + + + int + 1 + false + true + true + true + + + long + 0x04800000 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + cpu_0 + data_master + cpu_0 + jtag_debug_module + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + sdram_0 + clk + + + + int + 1 + false + true + true + true + + + long + 0x0000 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + cpu_0 + instruction_master + sdram_0 + s1 + + + + int + 1 + false + true + true + true + + + long + 0x0000 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true boolean @@ -15785,7 +16811,7 @@ parameters are a RESULT of the module parameters. --> long - 0x02400000 + 0x04000000 false true true @@ -15855,7 +16881,7 @@ parameters are a RESULT of the module parameters. --> long - 0x02801800 + 0x05000000 false true true @@ -15898,7 +16924,7 @@ parameters are a RESULT of the module parameters. --> long - 0x02801800 + 0x05000000 false true true @@ -16003,7 +17029,7 @@ parameters are a RESULT of the module parameters. --> long - 0x02802880 + 0x04400000 false true true @@ -16108,7 +17134,7 @@ parameters are a RESULT of the module parameters. --> long - 0x028028a0 + 0x06000000 false true true @@ -16213,7 +17239,7 @@ parameters are a RESULT of the module parameters. --> long - 0x028028c0 + 0x07000000 false true true @@ -16345,7 +17371,7 @@ parameters are a RESULT of the module parameters. --> long - 0x02802000 + 0x04802000 false true true @@ -16388,7 +17414,7 @@ parameters are a RESULT of the module parameters. --> long - 0x02802000 + 0x04802000 false true true @@ -16485,7 +17511,7 @@ parameters are a RESULT of the module parameters. --> long - 0x02802400 + 0x08002400 false true true @@ -16582,7 +17608,7 @@ parameters are a RESULT of the module parameters. --> long - 0x02802800 + 0x08100000 false true true @@ -16714,7 +17740,7 @@ parameters are a RESULT of the module parameters. --> long - 0x02802840 + 0x08200000 false true true @@ -16878,7 +17904,7 @@ parameters are a RESULT of the module parameters. --> long - 0x01000000 + 0x0000 false true true @@ -16975,7 +18001,7 @@ parameters are a RESULT of the module parameters. --> long - 0x01000000 + 0x0000 false true true @@ -17198,18 +18224,236 @@ parameters are a RESULT of the module parameters. --> cpu_0 data_master - watchdog_timer - s1 + watchdog_timer + s1 + + + + int + 6 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + cpu_0 + d_irq + watchdog_timer + irq + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + sysid + clk + + + + int + 1 + false + true + true + true + + + long + 0x08000000 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + cpu_0 + data_master + sysid + control_slave + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + ISP1362 + clock_reset + + + + int + 1 + false + true + true + true + + + long + 0x08220000 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + cpu_0 + data_master + ISP1362 + avalon_slave_0 + + + + int + 1 + false + true + true + true + + + long + 0x08220020 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + cpu_0 + data_master + ISP1362 + avalon_slave_1 + end="ISP1362.interrupt_sender_1"> int - 6 + 8 false true true @@ -17233,53 +18477,18 @@ parameters are a RESULT of the module parameters. --> cpu_0 d_irq - watchdog_timer - irq + ISP1362 + interrupt_sender_1 - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clk_0 - clk - sysid - clk - - - + start="cpu_0.d_irq" + end="ISP1362.interrupt_sender_0"> + int - 1 - false - true - true - true - - - long - 0x0048a000 + 7 false true true @@ -17302,172 +18511,180 @@ parameters are a RESULT of the module parameters. --> true cpu_0 - data_master - sysid - control_slave + d_irq + ISP1362 + interrupt_sender_0 1 - avalon_tristate_slave + avalon_tristate_master com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint - Avalon Memory Mapped Tristate Slave + Avalon Memory Mapped Tristate Master 9.1 1 - altera_avalon_cfi_flash + clock_source com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - Flash Memory Interface (CFI) + Clock Source 9.1 1 - avalon_tristate + altera_avalon_epcs_flash_controller com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IConnection - Avalon Memory Mapped Tristate Connection + com.altera.entityinterfaces.IModule + EPCS Serial Flash Controller 9.1 2 - altera_avalon_timer + avalon_streaming com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - Interval Timer + com.altera.entityinterfaces.IConnection + Avalon Streaming Connection 9.1 - 18 - clock + 1 + clock_sink com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IConnection - Clock Connection + com.altera.entityinterfaces.IMutableConnectionPoint + Clock Input 9.1 - 1 - altera_avalon_tri_state_bridge + 2 + altera_avalon_timer com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - Avalon-MM Tristate Bridge + Interval Timer 9.1 1 - altera_avalon_sysid + altera_nios2 com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - System ID Peripheral + Nios II Processor 9.1 - 2 - avalon_streaming + 15 + avalon_slave com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IConnection - Avalon Streaming Connection + com.altera.entityinterfaces.IMutableConnectionPoint + Avalon Memory Mapped Slave 9.1 1 - clock_source + avalon_tristate com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - Clock Source + com.altera.entityinterfaces.IConnection + Avalon Memory Mapped Tristate Connection 9.1 - 19 - clock_sink + 2 + altera_avalon_onchip_memory2 com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Clock Input + com.altera.entityinterfaces.IModule + On-Chip Memory (RAM or ROM) 9.1 2 - altera_avalon_onchip_memory2 + altera_avalon_sgdma com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - On-Chip Memory (RAM or ROM) + Scatter-Gather DMA Controller 9.1 - 15 - avalon_slave + 1 + clock_source com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint - Avalon Memory Mapped Slave + Clock Output 9.1 - 2 - avalon_streaming_source + 19 + clock + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IConnection + Clock Connection + 9.1 + + + 7 + interrupt_sender com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint - Avalon Streaming Source + Interrupt Sender 9.1 - 1 - altera_avalon_uart + 2 + avalon_slave com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - UART (RS-232 Serial Port) + com.altera.entityinterfaces.IMutableConnectionPoint + Avalon Memory Mapped Slave 9.1 - 10 - avalon_master + 2 + avalon_streaming_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint - Avalon Memory Mapped Master + Avalon Streaming Sink 9.1 1 - altera_nios2 + ISP1362_CTRL com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - Nios II Processor - 9.1 + ISP1362_CTRL + 1.0 1 - altera_avalon_new_sdram_controller + altera_avalon_cfi_flash com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - SDRAM Controller + Flash Memory Interface (CFI) 9.1 - 2 - avalon_streaming_sink + 27 + avalon com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Avalon Streaming Sink - 9.1 + com.altera.entityinterfaces.IConnection + Avalon Memory Mapped Connection + 6.1 1 - avalon_tristate_master + triple_speed_ethernet com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Avalon Memory Mapped Tristate Master + com.altera.entityinterfaces.IModule + Triple-Speed Ethernet 9.1 1 - triple_speed_ethernet + avalon_tristate_slave com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - Triple-Speed Ethernet + com.altera.entityinterfaces.IMutableConnectionPoint + Avalon Memory Mapped Tristate Slave 9.1 - 7 + 9 interrupt com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection @@ -17475,27 +18692,35 @@ parameters are a RESULT of the module parameters. --> 9.1 - 2 - altera_avalon_sgdma + 1 + altera_avalon_sysid com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - Scatter-Gather DMA Controller + System ID Peripheral 9.1 - 1 - altera_avalon_jtag_uart + 19 + clock_sink com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - JTAG UART + com.altera.entityinterfaces.IMutableConnectionPoint + Clock Input 9.1 - 7 - interrupt_sender + 1 + conduit_end com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint - Interrupt Sender + Conduit + 9.1 + + + 1 + altera_avalon_tri_state_bridge + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + Avalon-MM Tristate Bridge 9.1 @@ -17507,36 +18732,44 @@ parameters are a RESULT of the module parameters. --> 7.1 - 1 - nios_custom_instruction_master + 2 + avalon_streaming_source com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint - Custom Instruction Master + Avalon Streaming Source 9.1 - 1 - clock_source + 10 + avalon_master com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint - Clock Output + Avalon Memory Mapped Master 9.1 1 - altera_avalon_epcs_flash_controller + altera_avalon_uart com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - EPCS Serial Flash Controller + UART (RS-232 Serial Port) 9.1 - 25 - avalon + 1 + altera_avalon_jtag_uart com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IConnection - Avalon Memory Mapped Connection - 6.1 + com.altera.entityinterfaces.IModule + JTAG UART + 9.1 + + + 2 + interrupt_sender + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Interrupt Sender + 9.1 1 @@ -17546,6 +18779,22 @@ parameters are a RESULT of the module parameters. --> Interrupt Receiver 9.1 + + 1 + nios_custom_instruction_master + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Custom Instruction Master + 9.1 + + + 1 + altera_avalon_new_sdram_controller + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + SDRAM Controller + 9.1 + 9.1sp2 350 - 0015120002040000012D9F67E6DA + 00151200020400000130BD2BD28E -- cgit v1.2.3-54-g00ecf