From 892d6e65fc7e09834b6f5d414773242052a5e869 Mon Sep 17 00:00:00 2001 From: Tobias Klauser Date: Mon, 2 Aug 2010 13:21:42 +0200 Subject: Working version with TSE MAC --- quartus/dionysos_nios2mmu.sopc | 78 +++++----- quartus/dionysos_nios2mmu.sopcinfo | 287 ++++++++++++++++++++++--------------- 2 files changed, 212 insertions(+), 153 deletions(-) diff --git a/quartus/dionysos_nios2mmu.sopc b/quartus/dionysos_nios2mmu.sopc index 802aed8..1fc4c41 100644 --- a/quartus/dionysos_nios2mmu.sopc +++ b/quartus/dionysos_nios2mmu.sopc @@ -6,7 +6,7 @@ { datum baseAddress { - value = "41952320"; + value = "41953472"; type = "long"; } } @@ -35,7 +35,7 @@ { datum baseAddress { - value = "0"; + value = "41952256"; type = "long"; } } @@ -52,19 +52,19 @@ type = "String"; } } - element sgdma_rx.csr + element sgdma_tx.csr { datum baseAddress { - value = "1024"; + value = "41953344"; type = "long"; } } - element sgdma_tx.csr + element sgdma_rx.csr { datum baseAddress { - value = "1088"; + value = "41953280"; type = "long"; } } @@ -139,40 +139,35 @@ type = "String"; } } - element sdram_0.s1 + element cfi_flash_0.s1 { - datum _lockedAddress - { - value = "0"; - type = "boolean"; - } datum baseAddress { - value = "16777216"; + value = "37748736"; type = "long"; } } - element cfi_flash_0.s1 + element uart_0.s1 { datum baseAddress { - value = "37748736"; + value = "41953440"; type = "long"; } } - element uart_0.s1 + element descriptor_memory.s1 { datum baseAddress { - value = "41952288"; + value = "0"; type = "long"; } } - element descriptor_memory.s1 + element onchip_memory2_0.s1 { datum baseAddress { - value = "8192"; + value = "41951232"; type = "long"; } } @@ -180,15 +175,20 @@ { datum baseAddress { - value = "41952256"; + value = "41953408"; type = "long"; } } - element onchip_memory2_0.s1 + element sdram_0.s1 { + datum _lockedAddress + { + value = "0"; + type = "boolean"; + } datum baseAddress { - value = "41951232"; + value = "16777216"; type = "long"; } } @@ -209,7 +209,7 @@ } datum megawizard_uipreferences { - value = "{output_language=VHDL, output_directory=/home/tklauser/projects/dionysos_nios2mmu}"; + value = "{}"; type = "String"; } } @@ -298,8 +298,8 @@ dionysos_nios2mmu.qpf - - + + @@ -721,7 +721,7 @@ start="cpu_0.data_master" end="timer_0.s1"> - + @@ -729,7 +729,7 @@ - + @@ -741,7 +741,7 @@ start="cpu_0.data_master" end="jtag_uart_0.avalon_jtag_slave"> - + - + - + - + - + - + @@ -876,7 +876,7 @@ start="sgdma_tx.descriptor_read" end="descriptor_memory.s1"> - + - + + + + + diff --git a/quartus/dionysos_nios2mmu.sopcinfo b/quartus/dionysos_nios2mmu.sopcinfo index eddb46e..5464541 100644 --- a/quartus/dionysos_nios2mmu.sopcinfo +++ b/quartus/dionysos_nios2mmu.sopcinfo @@ -4,7 +4,7 @@ kind="com_altera_sopcmodel_ensemble_Ensemble" version="9.1"> - + com.altera.entityinterfaces.moduleext.IDeviceFamily$EDeviceFamily @@ -48,7 +48,7 @@ long - -64275773308 + -62283505486 false true true @@ -56,7 +56,7 @@ long - 1276765439648 + 1280742475274 false true true @@ -2198,7 +2198,7 @@ parameters are a RESULT of the module parameters. --> timer_0 s1 timer_0.s1 - 41952256 + 41953408 32 @@ -2206,7 +2206,7 @@ parameters are a RESULT of the module parameters. --> uart_0 s1 uart_0.s1 - 41952288 + 41953440 32 @@ -2214,7 +2214,7 @@ parameters are a RESULT of the module parameters. --> jtag_uart_0 avalon_jtag_slave jtag_uart_0.avalon_jtag_slave - 41952320 + 41953472 8 @@ -2222,7 +2222,7 @@ parameters are a RESULT of the module parameters. --> triple_speed_ethernet_0 control_port triple_speed_ethernet_0.control_port - 0 + 41952256 1024 @@ -2230,7 +2230,7 @@ parameters are a RESULT of the module parameters. --> sgdma_rx csr sgdma_rx.csr - 1024 + 41953280 64 @@ -2238,9 +2238,17 @@ parameters are a RESULT of the module parameters. --> sgdma_tx csr sgdma_tx.csr - 1088 + 41953344 64 + + false + descriptor_memory + s1 + descriptor_memory.s1 + 0 + 8192 + descriptor_memory s1 descriptor_memory.s1 - 8192 + 0 8192 @@ -11502,7 +11510,7 @@ parameters are a RESULT of the module parameters. --> descriptor_memory s1 descriptor_memory.s1 - 8192 + 0 8192 @@ -13543,7 +13551,7 @@ parameters are a RESULT of the module parameters. --> descriptor_memory s1 descriptor_memory.s1 - 8192 + 0 8192 @@ -13830,7 +13838,7 @@ parameters are a RESULT of the module parameters. --> descriptor_memory s1 descriptor_memory.s1 - 8192 + 0 8192 @@ -14910,7 +14918,7 @@ parameters are a RESULT of the module parameters. --> long - 0x02802400 + 0x02802880 false true true @@ -15015,7 +15023,7 @@ parameters are a RESULT of the module parameters. --> long - 0x02802420 + 0x028028a0 false true true @@ -15120,7 +15128,7 @@ parameters are a RESULT of the module parameters. --> long - 0x02802440 + 0x028028c0 false true true @@ -15392,7 +15400,7 @@ parameters are a RESULT of the module parameters. --> long - 0x0000 + 0x02802400 false true true @@ -15489,7 +15497,7 @@ parameters are a RESULT of the module parameters. --> long - 0x0400 + 0x02802800 false true true @@ -15621,7 +15629,7 @@ parameters are a RESULT of the module parameters. --> long - 0x0440 + 0x02802840 false true true @@ -15699,7 +15707,7 @@ parameters are a RESULT of the module parameters. --> long - 0x2000 + 0x0000 false true true @@ -15742,7 +15750,7 @@ parameters are a RESULT of the module parameters. --> long - 0x2000 + 0x0000 false true true @@ -15925,7 +15933,7 @@ parameters are a RESULT of the module parameters. --> long - 0x2000 + 0x0000 false true true @@ -15968,7 +15976,7 @@ parameters are a RESULT of the module parameters. --> long - 0x2000 + 0x0000 false true true @@ -15995,84 +16003,135 @@ parameters are a RESULT of the module parameters. --> descriptor_memory s1 + + + int + 1 + false + true + true + true + + + long + 0x0000 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + cpu_0 + data_master + descriptor_memory + s1 + - 10 - avalon_master + 1 + interrupt_receiver com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint - Avalon Memory Mapped Master + Interrupt Receiver 9.1 - 1 - altera_avalon_cfi_flash + 6 + interrupt com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - Flash Memory Interface (CFI) + com.altera.entityinterfaces.IConnection + Interrupt Connection 9.1 1 - altera_avalon_uart + altera_nios2 com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - UART (RS-232 Serial Port) + Nios II Processor 9.1 - 1 - avalon_tristate_master + 10 + avalon_master com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint - Avalon Memory Mapped Tristate Master + Avalon Memory Mapped Master + 9.1 + + + 2 + avalon_streaming_sink + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Avalon Streaming Sink 9.1 1 - triple_speed_ethernet + altera_avalon_jtag_uart com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - Triple-Speed Ethernet + JTAG UART 9.1 1 - altera_nios2 + altera_avalon_timer com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - Nios II Processor + Interval Timer 9.1 1 - altera_avalon_new_sdram_controller + triple_speed_ethernet com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - SDRAM Controller + Triple-Speed Ethernet 9.1 - 6 - interrupt + 1 + avalon_tristate com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection - Interrupt Connection + Avalon Memory Mapped Tristate Connection 9.1 1 - altera_avalon_timer + nios_custom_instruction_master com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - Interval Timer + com.altera.entityinterfaces.IMutableConnectionPoint + Custom Instruction Master 9.1 - 13 - avalon_slave + 1 + altera_avalon_uart com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Avalon Memory Mapped Slave + com.altera.entityinterfaces.IModule + UART (RS-232 Serial Port) 9.1 @@ -16084,139 +16143,123 @@ parameters are a RESULT of the module parameters. --> 9.1 - 16 - clock - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IConnection - Clock Connection - 9.1 - - - 1 - interrupt_receiver + 4 + conduit com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint - Interrupt Receiver - 9.1 - - - 22 - avalon - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IConnection - Avalon Memory Mapped Connection - 6.1 + Conduit Endpoint + 7.1 2 - altera_avalon_sgdma + avalon_streaming_source com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - Scatter-Gather DMA Controller + com.altera.entityinterfaces.IMutableConnectionPoint + Avalon Streaming Source 9.1 1 - altera_avalon_jtag_uart + clock_source com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - JTAG UART + Clock Source 9.1 - 1 - altera_avalon_tri_state_bridge + 6 + interrupt_sender com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - Avalon-MM Tristate Bridge + com.altera.entityinterfaces.IMutableConnectionPoint + Interrupt Sender 9.1 1 - nios_custom_instruction_master + avalon_tristate_master com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint - Custom Instruction Master + Avalon Memory Mapped Tristate Master 9.1 1 - clock_source + avalon_tristate_slave com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - Clock Source + com.altera.entityinterfaces.IMutableConnectionPoint + Avalon Memory Mapped Tristate Slave 9.1 - 6 - interrupt_sender + 1 + altera_avalon_epcs_flash_controller com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Interrupt Sender + com.altera.entityinterfaces.IModule + EPCS Serial Flash Controller 9.1 - 17 - clock_sink + 1 + altera_avalon_tri_state_bridge com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Clock Input + com.altera.entityinterfaces.IModule + Avalon-MM Tristate Bridge 9.1 - 2 - altera_avalon_onchip_memory2 + 1 + altera_avalon_cfi_flash com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - On-Chip Memory (RAM or ROM) + Flash Memory Interface (CFI) 9.1 - 2 - avalon_streaming_sink + 16 + clock com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Avalon Streaming Sink + com.altera.entityinterfaces.IConnection + Clock Connection 9.1 - 1 - avalon_tristate + 23 + avalon com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection - Avalon Memory Mapped Tristate Connection - 9.1 + Avalon Memory Mapped Connection + 6.1 - 4 - conduit + 13 + avalon_slave com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint - Conduit Endpoint - 7.1 + Avalon Memory Mapped Slave + 9.1 - 1 - altera_avalon_epcs_flash_controller + 2 + altera_avalon_onchip_memory2 com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - EPCS Serial Flash Controller + On-Chip Memory (RAM or ROM) 9.1 2 - avalon_streaming_source + altera_avalon_sgdma com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Avalon Streaming Source + com.altera.entityinterfaces.IModule + Scatter-Gather DMA Controller 9.1 1 - avalon_tristate_slave + altera_avalon_new_sdram_controller com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Avalon Memory Mapped Tristate Slave + com.altera.entityinterfaces.IModule + SDRAM Controller 9.1 @@ -16227,6 +16270,14 @@ parameters are a RESULT of the module parameters. --> Clock Output 9.1 + + 17 + clock_sink + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Clock Input + 9.1 + 9.1sp2 350 - 0023AE674DE000000129451D90C8 + 0023AE674DE00000012A3232FDE1 -- cgit v1.2.3-54-g00ecf