From 4bf58ac486b151293a0c0f9bd598e44311eb2022 Mon Sep 17 00:00:00 2001 From: Tobias Klauser Date: Wed, 9 Jan 2013 14:03:23 +0100 Subject: Update LED vector length, pid bits and address ranges of SOPC components --- dionysos_top.vhd | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) (limited to 'dionysos_top.vhd') diff --git a/dionysos_top.vhd b/dionysos_top.vhd index 19dd923..e167acb 100644 --- a/dionysos_top.vhd +++ b/dionysos_top.vhd @@ -219,7 +219,7 @@ end dionysos_top; architecture rtl of dionysos_top is --! front pannel LEDs - signal leds_front : std_logic_vector(13 downto 0); + signal leds_front : std_logic_vector(15 downto 0); --! board LEDs signal leds_board : std_logic_vector(3 downto 0); -- cgit v1.2.3-54-g00ecf ng' alt='cgit logo'/> index : net-next.git
net-next plumbingsTobias Klauser
summaryrefslogtreecommitdiff
path: root/Documentation/devicetree/bindings
diff options
context:
space:
mode:
Diffstat (limited to 'Documentation/devicetree/bindings')