From 4bf58ac486b151293a0c0f9bd598e44311eb2022 Mon Sep 17 00:00:00 2001 From: Tobias Klauser Date: Wed, 9 Jan 2013 14:03:23 +0100 Subject: Update LED vector length, pid bits and address ranges of SOPC components --- quartus/dionysos_nios2mmu.sopcinfo | 308 +++++++++++++++++++------------------ 1 file changed, 160 insertions(+), 148 deletions(-) (limited to 'quartus/dionysos_nios2mmu.sopcinfo') diff --git a/quartus/dionysos_nios2mmu.sopcinfo b/quartus/dionysos_nios2mmu.sopcinfo index bd6d973..25ffa87 100644 --- a/quartus/dionysos_nios2mmu.sopcinfo +++ b/quartus/dionysos_nios2mmu.sopcinfo @@ -4,7 +4,7 @@ kind="com_altera_sopcmodel_ensemble_Ensemble" version="9.1"> - + com.altera.entityinterfaces.moduleext.IDeviceFamily$EDeviceFamily @@ -48,7 +48,7 @@ long - -101819761844 + -100124991612 false true true @@ -56,7 +56,7 @@ long - 1331193876724 + 1351848799774 false true true @@ -485,7 +485,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.PROCESS_ID_NUM_BITS - 10 + 14 embeddedsw.CMacro.TLB_NUM_WAYS @@ -945,7 +945,7 @@ the requested settings for a module instance. --> com.altera.nios2.components.Nios2MMU$OptProcessIDNumBits - _10 + _14 false true true @@ -1025,7 +1025,7 @@ the requested settings for a module instance. --> com.altera.nios2.components.Nios2ICache$OptBurstType - None + Sequential false true true @@ -1145,7 +1145,7 @@ the requested settings for a module instance. --> boolean - false + true false true true @@ -1304,7 +1304,7 @@ parameters are a RESULT of the module parameters. --> boolean - false + true false true false @@ -1432,7 +1432,7 @@ parameters are a RESULT of the module parameters. --> boolean - false + true false true true @@ -1558,6 +1558,12 @@ parameters are a RESULT of the module parameters. --> 1 waitrequest + + i_burstcount + Output + 4 + burstcount + false cpu_0 @@ -2201,6 +2207,12 @@ parameters are a RESULT of the module parameters. --> 1 debugaccess + + d_burstcount + Output + 4 + burstcount + false cpu_0 @@ -15079,15 +15091,15 @@ parameters are a RESULT of the module parameters. --> the requested settings for a module instance. --> embeddedsw.CMacro.ID - 888030435u + 1340743850u embeddedsw.CMacro.TIMESTAMP - 1331193877u + 1351848800u long - 888030435 + 1340743850 true true true @@ -15095,7 +15107,7 @@ the requested settings for a module instance. --> long - 1331193877 + 1351848800 true true true @@ -21291,115 +21303,83 @@ parameters are a RESULT of the module parameters. --> irq - 2 - nios_custom_instruction_slave + 8 + interrupt_sender com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint - Custom Instruction Slave + Interrupt Sender 9.1 - 1 - nios_custom_instruction_slave + 3 + altera_avalon_pio com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Custom Instruction Slave + com.altera.entityinterfaces.IModule + PIO (Parallel I/O) 9.1 2 - avalon_slave - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Avalon Memory Mapped Slave - 9.1 - - - 1 - clock_sink + avalon_streaming_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint - Clock Input + Avalon Streaming Sink 9.1 - - 7 - conduit - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Conduit Endpoint - 7.1 - 1 - interrupt_receiver + avalon_tristate_master com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint - Interrupt Receiver + Avalon Memory Mapped Tristate Master 9.1 3 - altera_avalon_pio + nios_custom_instruction com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - PIO (Parallel I/O) + com.altera.entityinterfaces.IConnection + Nios II Custom Instruction Connection 9.1 - 2 - altera_avalon_sgdma + 1 + altera_avalon_sysid com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - Scatter-Gather DMA Controller + System ID Peripheral 9.1 1 - altera_avalon_new_sdram_controller + altera_avalon_epcs_flash_controller com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - SDRAM Controller + EPCS Serial Flash Controller 9.1 - - 30 - avalon - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IConnection - Avalon Memory Mapped Connection - 6.1 - 1 - conduit_end + nios_custom_instruction_slave com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint - Conduit - 9.1 - - - 3 - nios_custom_instruction - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IConnection - Nios II Custom Instruction Connection + Custom Instruction Slave 9.1 1 - avalon_tristate + altera_nios2 com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IConnection - Avalon Memory Mapped Tristate Connection + com.altera.entityinterfaces.IModule + Nios II Processor 9.1 - 1 - altera_nios2 + 10 + interrupt com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - Nios II Processor + com.altera.entityinterfaces.IConnection + Interrupt Connection 9.1 @@ -21412,26 +21392,18 @@ parameters are a RESULT of the module parameters. --> 1 - altera_avalon_tri_state_bridge + altera_avalon_cfi_flash com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - Avalon-MM Tristate Bridge + Flash Memory Interface (CFI) 9.1 1 - altera_avalon_jtag_uart + clock_source com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - JTAG UART - 9.1 - - - 10 - avalon_master - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Avalon Memory Mapped Master + Clock Source 9.1 @@ -21442,14 +21414,6 @@ parameters are a RESULT of the module parameters. --> Interval Timer 9.1 - - 2 - avalon_streaming_sink - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Avalon Streaming Sink - 9.1 - 2 avalon_streaming @@ -21460,34 +21424,58 @@ parameters are a RESULT of the module parameters. --> 1 - altera_nios_custom_instr_endian_converter + altera_avalon_new_sdram_controller com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - Endian Converter - 6.1 + SDRAM Controller + 9.1 1 - altera_avalon_sysid + altera_avalon_tri_state_bridge com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - System ID Peripheral + Avalon-MM Tristate Bridge 9.1 1 - altera_avalon_uart + triple_speed_ethernet com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - UART (RS-232 Serial Port) + Triple-Speed Ethernet 9.1 - 8 - interrupt_sender + 22 + clock + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IConnection + Clock Connection + 9.1 + + + 10 + avalon_master com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint - Interrupt Sender + Avalon Memory Mapped Master + 9.1 + + + 1 + clock_sink + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Clock Input + 9.1 + + + 1 + nios_custom_instruction_master + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Custom Instruction Master 9.1 @@ -21499,52 +21487,60 @@ parameters are a RESULT of the module parameters. --> 9.1 - 22 - clock_sink + 2 + nios_custom_instruction_slave com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint - Clock Input + Custom Instruction Slave 9.1 - 22 - clock + 1 + avalon_tristate com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection - Clock Connection + Avalon Memory Mapped Tristate Connection 9.1 + + 30 + avalon + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IConnection + Avalon Memory Mapped Connection + 6.1 + 1 - clock_source + conduit_end com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint - Clock Output + Conduit 9.1 - 1 - nios_custom_instruction_master + 2 + avalon_slave com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint - Custom Instruction Master + Avalon Memory Mapped Slave 9.1 - 1 - triple_speed_ethernet + 22 + clock_sink com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - Triple-Speed Ethernet + com.altera.entityinterfaces.IMutableConnectionPoint + Clock Input 9.1 1 - ISP1362_CTRL + clock_source com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - ISP1362_CTRL - 1.0 + com.altera.entityinterfaces.IMutableConnectionPoint + Clock Output + 9.1 1 @@ -21556,18 +21552,18 @@ parameters are a RESULT of the module parameters. --> 1 - altera_avalon_cfi_flash + altera_avalon_jtag_uart com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - Flash Memory Interface (CFI) + JTAG UART 9.1 - 2 - altera_avalon_onchip_memory2 + 18 + avalon_slave com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - On-Chip Memory (RAM or ROM) + com.altera.entityinterfaces.IMutableConnectionPoint + Avalon Memory Mapped Slave 9.1 @@ -21579,53 +21575,69 @@ parameters are a RESULT of the module parameters. --> 9.1 - 18 - avalon_slave + 2 + altera_avalon_sgdma + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + Scatter-Gather DMA Controller + 9.1 + + + 1 + fls + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + fls + 1.0 + + + 1 + interrupt_receiver com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint - Avalon Memory Mapped Slave + Interrupt Receiver 9.1 1 - clock_source + altera_avalon_uart com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - Clock Source + UART (RS-232 Serial Port) 9.1 1 - fls + ISP1362_CTRL com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - fls + ISP1362_CTRL 1.0 - 10 - interrupt + 1 + altera_nios_custom_instr_endian_converter com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IConnection - Interrupt Connection - 9.1 + com.altera.entityinterfaces.IModule + Endian Converter + 6.1 - 1 - avalon_tristate_master + 7 + conduit com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint - Avalon Memory Mapped Tristate Master - 9.1 + Conduit Endpoint + 7.1 - 1 - altera_avalon_epcs_flash_controller + 2 + altera_avalon_onchip_memory2 com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - EPCS Serial Flash Controller + On-Chip Memory (RAM or ROM) 9.1 9.1sp2 350 - 0023AE674DE000000135F150E472 + 0023AE674DE00000013AC075999D -- cgit v1.2.3-54-g00ecf