From 3ead429e520784e8d08da5305ea0b08aa7bc7e1d Mon Sep 17 00:00:00 2001 From: Tobias Klauser Date: Wed, 19 Jan 2011 19:07:27 +0100 Subject: Point reset vector to CFI flash --- quartus/dionysos_nios2mmu.sopc | 38 +++---- quartus/dionysos_nios2mmu.sopcinfo | 216 ++++++++++++++++++------------------- 2 files changed, 127 insertions(+), 127 deletions(-) (limited to 'quartus') diff --git a/quartus/dionysos_nios2mmu.sopc b/quartus/dionysos_nios2mmu.sopc index 3ceafcf..82b9b0b 100644 --- a/quartus/dionysos_nios2mmu.sopc +++ b/quartus/dionysos_nios2mmu.sopc @@ -24,7 +24,7 @@ } datum megawizard_uipreferences { - value = "{output_language=VHDL, output_directory=/home/tklauser/projects/dionysos_nios2mmu}"; + value = "{output_language=VHDL, output_directory=/home/tklauser/projects/dionysos_nios2mmu/quartus}"; type = "String"; } } @@ -71,7 +71,7 @@ } datum megawizard_uipreferences { - value = "{output_language=VHDL, output_directory=/home/tklauser/projects/dionysos_nios2mmu/quartus}"; + value = "{}"; type = "String"; } } @@ -182,7 +182,7 @@ type = "String"; } } - element onchip_memory2_0.s1 + element watchdog_timer.s1 { datum _lockedAddress { @@ -191,11 +191,11 @@ } datum baseAddress { - value = "41951232"; + value = "76021760"; type = "long"; } } - element descriptor_memory.s1 + element timer_0.s1 { datum _lockedAddress { @@ -204,11 +204,11 @@ } datum baseAddress { - value = "75759616"; + value = "41953408"; type = "long"; } } - element sdram_0.s1 + element cfi_flash_0.s1 { datum _lockedAddress { @@ -217,11 +217,11 @@ } datum baseAddress { - value = "16777216"; + value = "37748736"; type = "long"; } } - element timer_0.s1 + element uart_0.s1 { datum _lockedAddress { @@ -230,11 +230,11 @@ } datum baseAddress { - value = "41953408"; + value = "41953440"; type = "long"; } } - element watchdog_timer.s1 + element sdram_0.s1 { datum _lockedAddress { @@ -243,11 +243,11 @@ } datum baseAddress { - value = "76021760"; + value = "16777216"; type = "long"; } } - element cfi_flash_0.s1 + element onchip_memory2_0.s1 { datum _lockedAddress { @@ -256,11 +256,11 @@ } datum baseAddress { - value = "37748736"; + value = "41951232"; type = "long"; } } - element uart_0.s1 + element descriptor_memory.s1 { datum _lockedAddress { @@ -269,7 +269,7 @@ } datum baseAddress { - value = "41953440"; + value = "75759616"; type = "long"; } } @@ -410,8 +410,8 @@ dionysos_nios2mmu.qpf - - + + @@ -448,7 +448,7 @@ - + diff --git a/quartus/dionysos_nios2mmu.sopcinfo b/quartus/dionysos_nios2mmu.sopcinfo index 24c252a..a2f3b38 100644 --- a/quartus/dionysos_nios2mmu.sopcinfo +++ b/quartus/dionysos_nios2mmu.sopcinfo @@ -4,7 +4,7 @@ kind="com_altera_sopcmodel_ensemble_Ensemble" version="9.1"> - + com.altera.entityinterfaces.moduleext.IDeviceFamily$EDeviceFamily @@ -48,7 +48,7 @@ long - -77315762035 + -77631020099 false true true @@ -56,7 +56,7 @@ long - 1295440989718 + 1295459557215 false true true @@ -417,7 +417,7 @@ the requested settings for a module instance. --> embeddedsw.configuration.resetSlave - sdram_0.s1 + cfi_flash_0.s1 embeddedsw.configuration.resetOffset @@ -497,7 +497,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.RESET_ADDR - 0xc1000000 + 0xc2400000 embeddedsw.CMacro.BREAK_ADDR @@ -805,7 +805,7 @@ the requested settings for a module instance. --> com.altera.entityinterfaces.IConnectionPoint - sdram_0.s1 + cfi_flash_0.s1 false true true @@ -14966,15 +14966,15 @@ parameters are a RESULT of the module parameters. --> the requested settings for a module instance. --> embeddedsw.CMacro.ID - 6350743u + 321608807u embeddedsw.CMacro.TIMESTAMP - 1295440990u + 1295459557u long - 6350743 + 321608807 true true true @@ -14982,7 +14982,7 @@ the requested settings for a module instance. --> long - 1295440990 + 1295459557 true true true @@ -17307,99 +17307,83 @@ parameters are a RESULT of the module parameters. --> control_slave - 7 - interrupt + 1 + avalon_tristate_slave com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IConnection - Interrupt Connection + com.altera.entityinterfaces.IMutableConnectionPoint + Avalon Memory Mapped Tristate Slave 9.1 1 - altera_avalon_tri_state_bridge + altera_avalon_cfi_flash com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - Avalon-MM Tristate Bridge + Flash Memory Interface (CFI) 9.1 - - 4 - conduit - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Conduit Endpoint - 7.1 - 1 - interrupt_receiver + avalon_tristate com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Interrupt Receiver + com.altera.entityinterfaces.IConnection + Avalon Memory Mapped Tristate Connection 9.1 - 1 - altera_avalon_new_sdram_controller + 2 + altera_avalon_timer com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - SDRAM Controller + Interval Timer 9.1 - 1 - avalon_tristate_slave + 18 + clock com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Avalon Memory Mapped Tristate Slave + com.altera.entityinterfaces.IConnection + Clock Connection 9.1 1 - altera_avalon_jtag_uart + altera_avalon_tri_state_bridge com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - JTAG UART + Avalon-MM Tristate Bridge 9.1 1 - altera_nios2 + altera_avalon_sysid com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - Nios II Processor - 9.1 - - - 7 - interrupt_sender - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Interrupt Sender + System ID Peripheral 9.1 - 25 - avalon + 2 + avalon_streaming com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection - Avalon Memory Mapped Connection - 6.1 + Avalon Streaming Connection + 9.1 1 - avalon_tristate_master + clock_source com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Avalon Memory Mapped Tristate Master + com.altera.entityinterfaces.IModule + Clock Source 9.1 - 2 - avalon_streaming + 19 + clock_sink com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IConnection - Avalon Streaming Connection + com.altera.entityinterfaces.IMutableConnectionPoint + Clock Input 9.1 @@ -17411,35 +17395,27 @@ parameters are a RESULT of the module parameters. --> 9.1 - 1 - triple_speed_ethernet - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - Triple-Speed Ethernet - 9.1 - - - 1 - avalon_tristate + 15 + avalon_slave com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IConnection - Avalon Memory Mapped Tristate Connection + com.altera.entityinterfaces.IMutableConnectionPoint + Avalon Memory Mapped Slave 9.1 - 1 - nios_custom_instruction_master + 2 + avalon_streaming_source com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint - Custom Instruction Master + Avalon Streaming Source 9.1 1 - altera_avalon_epcs_flash_controller + altera_avalon_uart com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - EPCS Serial Flash Controller + UART (RS-232 Serial Port) 9.1 @@ -17451,43 +17427,51 @@ parameters are a RESULT of the module parameters. --> 9.1 - 2 - avalon_streaming_source + 1 + altera_nios2 com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Avalon Streaming Source + com.altera.entityinterfaces.IModule + Nios II Processor 9.1 1 - altera_avalon_cfi_flash + altera_avalon_new_sdram_controller com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - Flash Memory Interface (CFI) + SDRAM Controller 9.1 - 18 - clock + 2 + avalon_streaming_sink com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IConnection - Clock Connection + com.altera.entityinterfaces.IMutableConnectionPoint + Avalon Streaming Sink 9.1 - 19 - clock_sink + 1 + avalon_tristate_master com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint - Clock Input + Avalon Memory Mapped Tristate Master 9.1 1 - clock_source + triple_speed_ethernet com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - Clock Source + Triple-Speed Ethernet + 9.1 + + + 7 + interrupt + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IConnection + Interrupt Connection 9.1 @@ -17499,53 +17483,69 @@ parameters are a RESULT of the module parameters. --> 9.1 - 15 - avalon_slave + 1 + altera_avalon_jtag_uart + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + JTAG UART + 9.1 + + + 7 + interrupt_sender com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint - Avalon Memory Mapped Slave + Interrupt Sender 9.1 + + 4 + conduit + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Conduit Endpoint + 7.1 + 1 - clock_source + nios_custom_instruction_master com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint - Clock Output + Custom Instruction Master 9.1 1 - altera_avalon_sysid + clock_source com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - System ID Peripheral + com.altera.entityinterfaces.IMutableConnectionPoint + Clock Output 9.1 1 - altera_avalon_uart + altera_avalon_epcs_flash_controller com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - UART (RS-232 Serial Port) + EPCS Serial Flash Controller 9.1 - 2 - altera_avalon_timer + 25 + avalon com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - Interval Timer - 9.1 + com.altera.entityinterfaces.IConnection + Avalon Memory Mapped Connection + 6.1 - 2 - avalon_streaming_sink + 1 + interrupt_receiver com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint - Avalon Streaming Sink + Interrupt Receiver 9.1 9.1sp2 350 - 0023AE674DE00000012D9E4A9A2B + 0015120002040000012D9F67E6DA -- cgit v1.2.3-54-g00ecf