com.altera.entityinterfaces.moduleext.IDeviceFamily$EDeviceFamily CYCLONEIII false true true true boolean false false true true true boolean false false true true true com.altera.entityinterfaces.moduleext.IModuleGenerateHDL$HDLLanguage VHDL false true true true java.lang.String dionysos_nios2mmu.qpf false true true true long -100124991612 false true true true long 1351848799774 false true true true long 50000000 false true true true boolean true false true true true long 0 false true false true java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.String clk_in false true false true long 50000000 false true false true boolean true false true false true boolean true false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock true reset_n_out Output 1 reset_n clk_out Output 1 clk false cpu_0 clk cpu_0.clk false sdram_0 clk sdram_0.clk false tri_state_bridge_0 clk tri_state_bridge_0.clk false cfi_flash_0 clk cfi_flash_0.clk false epcs_flash_controller_0 clk epcs_flash_controller_0.clk false timer_0 clk timer_0.clk false uart_0 clk uart_0.clk false jtag_uart_0 clk jtag_uart_0.clk false onchip_memory2_0 clk1 onchip_memory2_0.clk1 false onchip_memory2_0 clk2 onchip_memory2_0.clk2 false tse_mac receive_clock_connection tse_mac.receive_clock_connection false tse_mac transmit_clock_connection tse_mac.transmit_clock_connection false tse_mac control_port_clock_connection tse_mac.control_port_clock_connection false sgdma_rx clk sgdma_rx.clk false descriptor_memory clk1 descriptor_memory.clk1 false sgdma_tx clk sgdma_tx.clk false watchdog_timer clk watchdog_timer.clk false sysid clk sysid.clk false ISP1362 clock_reset ISP1362.clock_reset false pio_leds_front clk pio_leds_front.clk false pio_leds_board clk pio_leds_board.clk false pio_dipswitch clk pio_dipswitch.clk java.lang.Long 0 true true false true java.lang.Boolean false true true false true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false in_clk Input 1 clk in_reset_n Input 1 reset_n embeddedsw.configuration.cpuArchitecture Nios II embeddedsw.configuration.HDLSimCachesCleared 1 embeddedsw.CMacro.CPU_IMPLEMENTATION "fast" embeddedsw.CMacro.BIG_ENDIAN 0 embeddedsw.CMacro.CPU_FREQ 50000000u embeddedsw.CMacro.ICACHE_LINE_SIZE 32 embeddedsw.CMacro.ICACHE_LINE_SIZE_LOG2 5 embeddedsw.CMacro.ICACHE_SIZE 4096 embeddedsw.CMacro.DCACHE_LINE_SIZE 32 embeddedsw.CMacro.DCACHE_LINE_SIZE_LOG2 5 embeddedsw.CMacro.DCACHE_SIZE 2048 embeddedsw.CMacro.INITDA_SUPPORTED embeddedsw.CMacro.FLUSHDA_SUPPORTED embeddedsw.CMacro.HAS_JMPI_INSTRUCTION embeddedsw.configuration.resetSlave cfi_flash_0.s1 embeddedsw.configuration.resetOffset 0 embeddedsw.configuration.exceptionSlave sdram_0.s1 embeddedsw.configuration.exceptionOffset 32 embeddedsw.configuration.breakSlave cpu_0.jtag_debug_module embeddedsw.configuration.breakOffset 32 embeddedsw.CMacro.MMU_PRESENT embeddedsw.CMacro.KERNEL_REGION_BASE 0xc0000000 embeddedsw.CMacro.IO_REGION_BASE 0xe0000000 embeddedsw.CMacro.KERNEL_MMU_REGION_BASE 0x80000000 embeddedsw.CMacro.USER_REGION_BASE 0x0 embeddedsw.CMacro.PROCESS_ID_NUM_BITS 14 embeddedsw.CMacro.TLB_NUM_WAYS 16 embeddedsw.CMacro.TLB_NUM_WAYS_LOG2 4 embeddedsw.CMacro.TLB_PTR_SZ 8 embeddedsw.CMacro.TLB_NUM_ENTRIES 256 embeddedsw.CMacro.FAST_TLB_MISS_EXCEPTION_ADDR 0xc4802000 embeddedsw.configuration.mmu_TLBMissExcSlave onchip_memory2_0.s1 embeddedsw.configuration.mmu_TLBMissExcOffset 0 embeddedsw.CMacro.EXCEPTION_ADDR 0xc0000020 embeddedsw.CMacro.RESET_ADDR 0xc4000000 embeddedsw.CMacro.BREAK_ADDR 0xc4800020 embeddedsw.CMacro.HAS_DEBUG_STUB embeddedsw.CMacro.HAS_DEBUG_CORE 1 embeddedsw.CMacro.HAS_ILLEGAL_INSTRUCTION_EXCEPTION embeddedsw.CMacro.HAS_ILLEGAL_MEMORY_ACCESS_EXCEPTION embeddedsw.CMacro.HAS_DIVISION_ERROR_EXCEPTION embeddedsw.CMacro.HAS_EXTRA_EXCEPTION_INFO embeddedsw.CMacro.CPU_ID_SIZE 1 embeddedsw.CMacro.CPU_ID_VALUE 0x0 embeddedsw.CMacro.HARDWARE_DIVIDE_PRESENT 1 embeddedsw.CMacro.HARDWARE_MULTIPLY_PRESENT 1 embeddedsw.CMacro.HARDWARE_MULX_PRESENT 0 embeddedsw.CMacro.INST_ADDR_WIDTH 27 embeddedsw.CMacro.DATA_ADDR_WIDTH 28 embeddedsw.CMacro.NUM_OF_SHADOW_REG_SETS 0 java.lang.String false true true true boolean false false true true true boolean false false true true true int 0 false true true true boolean false false false true true boolean false false false true true boolean true false true true true boolean false false true true true com.altera.nios2.components.Nios2InternalSettings$OptPerfCounterWidth _32 false false true true com.altera.nios2.components.Nios2InternalSettings$OptInterruptControllerType Internal false true true true boolean false false false true true boolean false false false true true boolean false false true true true boolean false false false true true boolean false false true true true boolean false false true true true boolean true false true true true com.altera.nios2.components.Nios2InternalSettings$OptBranchPredictionType Automatic false true true true boolean true false false true true boolean false false true true true com.altera.nios2.components.Nios2InternalSettings$OptBhtPtrSz _8 false true true true boolean false false true true true boolean false false true true true boolean true false true true true boolean false false false true true boolean true false true true true boolean false false true true true boolean true false true true true boolean false false true true true boolean true false true true true boolean false false true true true com.altera.entityinterfaces.IConnectionPoint cfi_flash_0.s1 false true true true long 0 false true true true com.altera.nios2.components.Nios2MultiplierDivider$OptMultiplier EmbeddedMulFast false true true true boolean true false true true true boolean false false false true true int 8 false false true true int 8 false false true true com.altera.nios2.components.Nios2MPU$OptRegionSize _12 false false true true com.altera.nios2.components.Nios2MPU$OptRegionSize _12 false false true true boolean false false false true true com.altera.nios2.components.Nios2MMU$OptTlbNumEntries _4 false true true true com.altera.nios2.components.Nios2MMU$OptTlbNumEntries _6 false true true true com.altera.nios2.components.Nios2MMU$OptTlbPtrSz _7 false false true true com.altera.nios2.components.Nios2MMU$OptTlbNumWays _16 false true true true com.altera.nios2.components.Nios2MMU$OptProcessIDNumBits _14 false true true true boolean true false true true true boolean true false true true true com.altera.entityinterfaces.IConnectionPoint onchip_memory2_0.s1 false true true true int 0 false true true true boolean false false true true true com.altera.nios2.components.INios2Component$Impl Fast false true true true com.altera.nios2.components.Nios2AbstractCache$OptBytes _4096 false true true true com.altera.nios2.components.Nios2AbstractCache$OptRamBlockType Automatic false true true true com.altera.nios2.components.Nios2AbstractCache$OptNumTCM _1 false true true true com.altera.nios2.components.Nios2ICache$OptBurstType Sequential false true true true com.altera.entityinterfaces.IConnectionPoint sdram_0.s1 false true true true long 32 false true true true boolean true false true true true com.altera.nios2.components.Nios2Debug$OptLevel Level1 false true true true int 0 false false true true boolean true false false true true boolean false false true true true boolean false false true true true com.altera.nios2.components.Nios2Debug$OptOCIOnchipTrace _128 false false true true com.altera.nios2.components.Nios2AbstractCache$OptBytes _2048 false true true true com.altera.nios2.components.Nios2AbstractCache$OptRamBlockType Automatic false true true true boolean false false true true true com.altera.nios2.components.Nios2AbstractCache$OptNumTCM _1 false true true true com.altera.nios2.components.Nios2DCache$OptLineSize _32 false true true true boolean true false true true true boolean false false true true true long 0 false false true true long 50000000 false true true true com.altera.entityinterfaces.IConnectionPoint cpu_0.jtag_debug_module false false true true long 32 false false true true java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.Long 50000000 true true false true java.lang.Boolean true true true false true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false clk Input 1 clk reset_n Input 1 reset_n com.altera.entityinterfaces.IConnectionPoint false true false true int 1 false true false true com.altera.sopcmodel.avalon.EAddrBurstUnits SYMBOLS false true false true boolean true false true false true java.lang.String clk false true false true java.lang.String false true false true int 8 false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true boolean false false true false true boolean false false true true true boolean false false true true true int 0 false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean true false true true true int 32 false true false true int 0 false true false true int 0 false true false true int 1 false true false true boolean false false true false true boolean false false true false true int 0 false true false true com.altera.sopcmodel.avalon.TimingUnits Cycles false true false true int 0 false true false true java.lang.String UNKNOWN false true true true boolean false false true true true avalon true i_address Output 27 address i_read Output 1 read i_readdata Input 32 readdata i_readdatavalid Input 1 readdatavalid i_waitrequest Input 1 waitrequest i_burstcount Output 4 burstcount false cpu_0 jtag_debug_module cpu_0.jtag_debug_module 75497472 2048 false sdram_0 s1 sdram_0.s1 0 67108864 false cfi_flash_0 s1 cfi_flash_0.s1 67108864 4194304 false epcs_flash_controller_0 epcs_control_port epcs_flash_controller_0.epcs_control_port 83886080 2048 com.altera.entityinterfaces.IConnectionPoint false true false true int 1 false true false true com.altera.sopcmodel.avalon.EAddrBurstUnits SYMBOLS false true false true boolean false false true false true java.lang.String clk false true false true java.lang.String false true false true int 8 false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true boolean false false true false true boolean false false true true true boolean false false true true true int 0 false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 32 false true false true int 0 false true false true int 0 false true false true int 1 false true false true boolean false false true false true boolean false false true false true int 0 false true false true com.altera.sopcmodel.avalon.TimingUnits Cycles false true false true int 0 false true false true java.lang.String UNKNOWN false true true true boolean false false true true true avalon true icm0_address Output 27 address icm0_read Output 1 read icm0_readdata Input 32 readdata icm0_readdatavalid Input 1 readdatavalid icm0_waitrequest Input 1 waitrequest icm0_clken Output 1 clken false onchip_memory2_0 s1 onchip_memory2_0.s1 75505664 2048 com.altera.entityinterfaces.IConnectionPoint false true false true int 1 false true false true com.altera.sopcmodel.avalon.EAddrBurstUnits SYMBOLS false true false true boolean false false true false true java.lang.String clk false true false true java.lang.String false true false true int 8 false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true boolean false false true false true boolean false false true true true boolean false false true true true int 0 false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 32 false true false true int 0 false true false true int 0 false true false true int 1 false true false true boolean false false true false true boolean false false true false true int 0 false true false true com.altera.sopcmodel.avalon.TimingUnits Cycles false true false true int 0 false true false true java.lang.String UNKNOWN false true true true boolean false false true true true avalon true d_address Output 28 address d_byteenable Output 4 byteenable d_read Output 1 read d_readdata Input 32 readdata d_readdatavalid Input 1 readdatavalid d_waitrequest Input 1 waitrequest d_write Output 1 write d_writedata Output 32 writedata jtag_debug_module_debugaccess_to_roms Output 1 debugaccess d_burstcount Output 4 burstcount false cpu_0 jtag_debug_module cpu_0.jtag_debug_module 75497472 2048 false sdram_0 s1 sdram_0.s1 0 67108864 false cfi_flash_0 s1 cfi_flash_0.s1 67108864 4194304 false epcs_flash_controller_0 epcs_control_port epcs_flash_controller_0.epcs_control_port 83886080 2048 false timer_0 s1 timer_0.s1 71303168 32 false uart_0 s1 uart_0.s1 100663296 32 false jtag_uart_0 avalon_jtag_slave jtag_uart_0.avalon_jtag_slave 117440512 8 false tse_mac control_port tse_mac.control_port 134226944 1024 false sgdma_rx csr sgdma_rx.csr 135266304 64 false sgdma_tx csr sgdma_tx.csr 136314880 64 false descriptor_memory s1 descriptor_memory.s1 75759616 8192 false watchdog_timer s1 watchdog_timer.s1 76021760 32 false sysid control_slave sysid.control_slave 134217728 8 false ISP1362 avalon_slave_0 ISP1362.avalon_slave_0 136445952 16 false ISP1362 avalon_slave_1 ISP1362.avalon_slave_1 136445984 4 false pio_leds_front s1 pio_leds_front.s1 71303200 32 false pio_leds_board s1 pio_leds_board.s1 71303232 32 false pio_dipswitch s1 pio_dipswitch.s1 71303264 16 com.altera.entityinterfaces.IConnectionPoint cpu_0.data_master false true true true java.lang.String false true false true com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme INDIVIDUAL_REQUESTS false true true true java.lang.String UNKNOWN false true true true boolean false false true true true interrupt true d_irq Input 32 irq false epcs_flash_controller_0 irq epcs_flash_controller_0.irq 1 false timer_0 irq timer_0.irq 0 false uart_0 irq uart_0.irq 2 false jtag_uart_0 irq jtag_uart_0.irq 3 false sgdma_rx csr_irq sgdma_rx.csr_irq 4 false sgdma_tx csr_irq sgdma_tx.csr_irq 5 false watchdog_timer irq watchdog_timer.irq 6 false ISP1362 interrupt_sender_1 ISP1362.interrupt_sender_1 8 false ISP1362 interrupt_sender_0 ISP1362.interrupt_sender_0 7 false pio_dipswitch irq pio_dipswitch.irq 9 com.altera.entityinterfaces.IConnectionPoint false true false true int 1 false true false true com.altera.sopcmodel.avalon.EAddrBurstUnits SYMBOLS false true false true boolean false false true false true java.lang.String clk false true false true java.lang.String false true false true int 8 false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true boolean false false true false true boolean false false true true true boolean false false true true true int 0 false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 32 false true false true int 0 false true false true int 0 false true false true int 1 false true false true boolean false false true false true boolean false false true false true int 0 false true false true com.altera.sopcmodel.avalon.TimingUnits Cycles false true false true int 0 false true false true java.lang.String UNKNOWN false true true true boolean false false true true true avalon true dcm0_address Output 28 address dcm0_byteenable Output 4 byteenable dcm0_clken Output 1 clken dcm0_read Output 1 read dcm0_readdata Input 32 readdata dcm0_readdatavalid Input 1 readdatavalid dcm0_waitrequest Input 1 waitrequest dcm0_write Output 1 write dcm0_writedata Output 32 writedata false onchip_memory2_0 s2 onchip_memory2_0.s2 75505664 2048 embeddedsw.configuration.isMemoryDevice 1 embeddedsw.configuration.hideDevice 1 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment DYNAMIC false true true true int 0 false true false true long 2048 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean false false true false true java.lang.String clk false true false true java.lang.String false true false true int 8 false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true long 0 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean true false true true true boolean false false true true true boolean false false true true true int 0 false false true true int 1 false true false true boolean false false true true true int 0 false true true true int 1 false true false true int 1 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false jtag_debug_module_address Input 9 address jtag_debug_module_begintransfer Input 1 begintransfer jtag_debug_module_byteenable Input 4 byteenable jtag_debug_module_debugaccess Input 1 debugaccess jtag_debug_module_readdata Output 32 readdata jtag_debug_module_resetrequest Output 1 resetrequest jtag_debug_module_select Input 1 chipselect jtag_debug_module_write Input 1 write jtag_debug_module_writedata Input 32 writedata java.lang.String true true false true int 8 false true false true boolean true false true false true int 8 false true false true int 0 true true false true java.lang.String UNKNOWN false true true true boolean false false true true true nios_custom_instruction true dataa Output 32 dataa datab Output 32 datab result Input 32 result clk_en Output 1 clk_en reset Output 1 reset start Output 1 start done Input 1 done n Output 8 n a Output 5 a b Output 5 b c Output 5 c readra Output 1 readra readrb Output 1 readrb writerc Output 1 writerc false cpu_0_converter s1 cpu_0_converter.s1 0 converter false cpu_0_ffs_inst nios_custom_instruction_slave_0 cpu_0_ffs_inst.nios_custom_instruction_slave_0 1 ffs_inst false cpu_0_fls_inst nios_custom_instruction_slave_0 cpu_0_fls_inst.nios_custom_instruction_slave_0 2 fls_inst embeddedsw.CMacro.REGISTER_DATA_IN 1 embeddedsw.CMacro.SIM_MODEL_BASE 0 embeddedsw.CMacro.SDRAM_DATA_WIDTH 16 embeddedsw.CMacro.SDRAM_ADDR_WIDTH 25 embeddedsw.CMacro.SDRAM_ROW_WIDTH 13 embeddedsw.CMacro.SDRAM_COL_WIDTH 10 embeddedsw.CMacro.SDRAM_NUM_CHIPSELECTS 1 embeddedsw.CMacro.SDRAM_NUM_BANKS 4 embeddedsw.CMacro.REFRESH_PERIOD 15.625 embeddedsw.CMacro.POWERUP_DELAY 100.0 embeddedsw.CMacro.CAS_LATENCY 3 embeddedsw.CMacro.T_RFC 70.0 embeddedsw.CMacro.T_RP 20.0 embeddedsw.CMacro.T_MRD 3 embeddedsw.CMacro.T_RCD 20.0 embeddedsw.CMacro.T_AC 5.5 embeddedsw.CMacro.T_WR 14.0 embeddedsw.CMacro.INIT_REFRESH_COMMANDS 2 embeddedsw.CMacro.INIT_NOP_DELAY 0.0 embeddedsw.CMacro.SHARED_DATA 0 embeddedsw.CMacro.STARVATION_INDICATOR 0 embeddedsw.CMacro.TRISTATE_BRIDGE_SLAVE "" embeddedsw.CMacro.IS_INITIALIZED 1 embeddedsw.CMacro.SDRAM_BANK_WIDTH 2 embeddedsw.CMacro.CONTENTS_INFO "" embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH 16 embeddedsw.memoryInfo.GENERATE_DAT_SYM 1 embeddedsw.memoryInfo.DAT_SYM_INSTALL_DIR SIM_DIR double 5.5 false true true true long 3 false true true true double 20.0 false true true true double 70.0 false true true true double 20.0 false true true true double 14.0 false true true true int 3 false true true true long 50000000 false true true true int 10 false true true true int 16 false true true true boolean false false true true true double 0.0 false true true true int 2 false true true true com.altera.entityinterfaces.IConnectionPoint false false true true com.altera.sopcmodel.components.avalon.AlteraAvalonSDRAMController.ModelMangler$PresetModels custom false true true true int 4 false true true true int 1 false true true true boolean false false true true true double 100.0 false true true true double 15.625 false true true true boolean true false true true true int 13 false true true true long 67108864 true true true true java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.Long 50000000 true true false true java.lang.Boolean true true true false true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false clk Input 1 clk reset_n Input 1 reset_n embeddedsw.configuration.isMemoryDevice 1 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment DYNAMIC false true true true int 0 false true false true long 67108864 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean false false true false true java.lang.String clk false true false true java.lang.String false true false true int 8 false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true long 0 false true true true int 0 false false true true boolean false false true false true boolean false false true false true boolean false false true false true boolean true false true true true boolean false false true true true boolean false false true true true int 7 false true true true int 1 false true false true boolean false false true true true int 0 false false true true int 1 false true false true int 1 false false true true boolean false false true false true boolean false false true false true int 0 false false true true com.altera.sopcmodel.avalon.TimingUnits Cycles false false true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false false true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false az_addr Input 25 address az_be_n Input 2 byteenable_n az_cs Input 1 chipselect az_data Input 16 writedata az_rd_n Input 1 read_n az_wr_n Input 1 write_n za_data Output 16 readdata za_valid Output 1 readdatavalid za_waitrequest Output 1 waitrequest java.lang.String UNKNOWN false true true true boolean false false true true true conduit false zs_addr Output 13 export zs_ba Output 2 export zs_cas_n Output 1 export zs_cke Output 1 export zs_cs_n Output 1 export zs_dq Output 16 export zs_dqm Output 2 export zs_ras_n Output 1 export zs_we_n Output 1 export boolean true false true true true java.lang.String UNKNOWN false true true true boolean false false true true true long 0 true true false true boolean false true true false true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false embeddedsw.configuration.isFlash 0 embeddedsw.configuration.isMemoryDevice 0 embeddedsw.configuration.isNonVolatileStorage 0 embeddedsw.configuration.isPrintableDevice 0 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment DYNAMIC false true true true int 0 false true false true long 1 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean false false true false true java.lang.String clk false true false true java.lang.String false true false true int 8 false true false true com.altera.entityinterfaces.IConnectionPoint tri_state_bridge_0.tristate_master false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true long 0 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true boolean false false true true true boolean false false true true true int 0 false false true true int 1 false true false true boolean false false true true true int 0 false true true true int 1 false true false true int 1 false true true true boolean true false true false true boolean true false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean true false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false com.altera.entityinterfaces.IConnectionPoint false true false true int 0 false true false true boolean false false true false true boolean false false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 32 false true false true boolean false false true false true boolean false false true false true java.lang.String UNKNOWN false true true true boolean false false true true true avalon_tristate true false cfi_flash_0 s1 cfi_flash_0.s1 67108864 4194304 embeddedsw.CMacro.SETUP_VALUE 40 embeddedsw.CMacro.WAIT_VALUE 160 embeddedsw.CMacro.HOLD_VALUE 40 embeddedsw.CMacro.TIMING_UNITS "ns" embeddedsw.CMacro.SIZE 4194304u embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH 8 embeddedsw.memoryInfo.HAS_BYTE_LANE 0 embeddedsw.memoryInfo.IS_FLASH 1 embeddedsw.memoryInfo.GENERATE_DAT_SYM 1 embeddedsw.memoryInfo.GENERATE_FLASH 1 embeddedsw.memoryInfo.DAT_SYM_INSTALL_DIR SIM_DIR embeddedsw.memoryInfo.FLASH_INSTALL_DIR APP_DIR double 40.0 true true true true double 40.0 true true true true double 160.0 true true true true int 22 false true true true long 50000000 false true true true com.altera.sopcmodel.components.avalon.AlteraAvalonCommonFlashInterface.FlashCorePresets CUSTOM false true true true int 8 false true true true int 40 false true true true int 40 false true true true [Ljava.lang.String; s1/address,s1/data,s1/read_n false true true true com.altera.sopcmodel.components.avalon.AlteraAvalonCommonFlashInterface.FlashTimingUnits NS false true true true int 160 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.Long 50000000 true true false true java.lang.Boolean true true true false true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false embeddedsw.configuration.isNonVolatileStorage 1 embeddedsw.configuration.isFlash 1 embeddedsw.configuration.isMemoryDevice 1 boolean false false true true true com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment DYNAMIC false true false true int 0 false true false true long 4194304 true true false true boolean false false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true false true long 0 false true true true int 40 false true true true boolean false false true false true boolean false false true false true boolean true false true false true boolean true false true true true boolean true false true true true boolean false false true false true int 0 false true true true int 1 false true false true boolean false false true true true int 0 false true true true int 160 false true false true int 160 false true true true boolean false false true false true boolean false false true false true int 40 false true true true com.altera.sopcmodel.avalon.TimingUnits Nanoseconds false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 160 false true false true int 160 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon_tristate false data Bidir 8 data address Input 22 address read_n Input 1 read_n write_n Input 1 write_n select_n Input 1 chipselect_n embeddedsw.CMacro.REGISTER_OFFSET 1024 embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH 32 embeddedsw.memoryInfo.MEM_INIT_FILENAME epcs_flash_controller_0_boot_rom embeddedsw.memoryInfo.IS_EPCS 1 embeddedsw.memoryInfo.IS_FLASH 1 embeddedsw.memoryInfo.GENERATE_HEX 1 embeddedsw.memoryInfo.GENERATE_DAT_SYM 1 embeddedsw.memoryInfo.GENERATE_FLASH 1 embeddedsw.memoryInfo.HEX_INSTALL_DIR SIM_DIR embeddedsw.memoryInfo.DAT_SYM_INSTALL_DIR SIM_DIR embeddedsw.memoryInfo.FLASH_INSTALL_DIR APP_DIR boolean true false true true true java.lang.String Cyclone III false true false true boolean false false false true true java.lang.String UNKNOWN false true true true boolean false false true true true long 0 true true false true boolean false true true false true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false clk Input 1 clk reset_n Input 1 reset_n embeddedsw.configuration.isNonVolatileStorage 1 embeddedsw.configuration.isFlash 1 embeddedsw.configuration.isMemoryDevice 1 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment DYNAMIC false true true true int 0 false true false true long 2048 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean false false true false true java.lang.String clk false true false true java.lang.String false true false true int 8 false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true long 0 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean true false true false true boolean true false true true true boolean true false true true true boolean false false true true true int 0 false false true true int 1 false true false true boolean false false true true true int 0 false true true true int 1 false true false true int 1 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 1 false true false true int 1 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false address Input 9 address chipselect Input 1 chipselect dataavailable Output 1 dataavailable endofpacket Output 1 endofpacket read_n Input 1 read_n readdata Output 32 readdata readyfordata Output 1 readyfordata write_n Input 1 write_n writedata Input 32 writedata com.altera.entityinterfaces.IConnectionPoint epcs_flash_controller_0.epcs_control_port false true true true java.lang.String false true false true com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme NONE false true false true java.lang.String UNKNOWN false true true true boolean false false true true true interrupt false irq Output 1 irq java.lang.String UNKNOWN false true true true boolean false false true true true conduit false dclk Output 1 export sce Output 1 export sdo Output 1 export data0 Input 1 export embeddedsw.CMacro.ALWAYS_RUN 0 embeddedsw.CMacro.FIXED_PERIOD 0 embeddedsw.CMacro.SNAPSHOT 1 embeddedsw.CMacro.PERIOD 1 embeddedsw.CMacro.PERIOD_UNITS "ms" embeddedsw.CMacro.RESET_OUTPUT 0 embeddedsw.CMacro.TIMEOUT_PULSE_OUTPUT 0 embeddedsw.CMacro.FREQ 50000000u embeddedsw.CMacro.LOAD_VALUE 49999ULL embeddedsw.CMacro.COUNTER_SIZE 32 embeddedsw.CMacro.MULT 0.0010 embeddedsw.CMacro.TICKS_PER_SEC 1000u boolean false false false true true int 32 false true true true boolean false false false true true java.lang.String 1 false true true true com.altera.sopcmodel.components.avalon.AlteraAvalonTimer.AlteraAvalonTimer$TimerPeriodUnit MSEC false true true true boolean false false false true true boolean true false false true true long 50000000 false true true true boolean false false false true true com.altera.sopcmodel.components.avalon.AlteraAvalonTimer.TimerPresets FULL_FEATURED false true true true java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.Long 50000000 true true false true java.lang.Boolean true true true false true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false clk Input 1 clk reset_n Input 1 reset_n embeddedsw.configuration.isTimerDevice 1 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment NATIVE false true true true int 0 false true false true long 8 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean false false true false true java.lang.String clk false true false true java.lang.String false true false true int 8 false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true long 0 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true boolean false false true true true boolean false false true true true int 0 false false true true int 1 false true false true boolean false false true true true int 0 false true true true int 1 false true false true int 1 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false address Input 3 address writedata Input 16 writedata readdata Output 16 readdata chipselect Input 1 chipselect write_n Input 1 write_n com.altera.entityinterfaces.IConnectionPoint timer_0.s1 false true true true java.lang.String false true false true com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme NONE false true false true java.lang.String UNKNOWN false true true true boolean false false true true true interrupt false irq Output 1 irq embeddedsw.CMacro.BAUD 115200 embeddedsw.CMacro.DATA_BITS 8 embeddedsw.CMacro.FIXED_BAUD 1 embeddedsw.CMacro.PARITY 'N' embeddedsw.CMacro.STOP_BITS 1 embeddedsw.CMacro.SYNC_REG_DEPTH 2 embeddedsw.CMacro.USE_CTS_RTS 0 embeddedsw.CMacro.USE_EOP_REGISTER 0 embeddedsw.CMacro.SIM_TRUE_BAUD 0 embeddedsw.CMacro.SIM_CHAR_STREAM "" embeddedsw.CMacro.FREQ 50000000u int 115200 false true true true double 0.01 true true true true long 50000000 false true true true int 8 false true true true boolean true false true true true com.altera.sopcmodel.components.avalon.AlteraAvalonUART.AlteraAvalonUART$UartParity NONE false true true true java.lang.String false true true true boolean false false true true true boolean false false true true true boolean false false true true true int 1 false true true true int 2 false true true true boolean false false true true true boolean false false true true true boolean false false true true true java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.Long 50000000 true true false true java.lang.Boolean true true true false true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false clk Input 1 clk reset_n Input 1 reset_n embeddedsw.configuration.isPrintableDevice 1 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment NATIVE false true true true int 0 false true false true long 8 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean false false true false true java.lang.String clk false true false true java.lang.String false true false true int 8 false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true long 0 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true boolean false false true true true boolean false false true true true int 0 false false true true int 1 false true false true boolean true false true true true int 0 false true true true int 1 false true false true int 1 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 1 false true false true int 1 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false address Input 3 address begintransfer Input 1 begintransfer chipselect Input 1 chipselect read_n Input 1 read_n write_n Input 1 write_n writedata Input 16 writedata readdata Output 16 readdata dataavailable Output 1 dataavailable readyfordata Output 1 readyfordata java.lang.String UNKNOWN false true true true boolean false false true true true conduit false rxd Input 1 export txd Output 1 export com.altera.entityinterfaces.IConnectionPoint uart_0.s1 false true true true java.lang.String false true false true com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme NONE false true false true java.lang.String UNKNOWN false true true true boolean false false true true true interrupt false irq Output 1 irq embeddedsw.CMacro.WRITE_DEPTH 64 embeddedsw.CMacro.READ_DEPTH 64 embeddedsw.CMacro.WRITE_THRESHOLD 8 embeddedsw.CMacro.READ_THRESHOLD 8 boolean false false true false true int 0 false true true true int 64 false true true true int 8 false true true true java.lang.String false true true true com.altera.sopcmodel.components.avalon.AlteraAvalonJtagUART.AlteraAvalonJtagUART$JtagSimulationOptions INTERACTIVE_ASCII_OUTPUT false true true true boolean false false true true true boolean false false true true true boolean false false true true true int 64 false true true true int 8 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true long 0 true true false true boolean false true true false true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false clk Input 1 clk rst_n Input 1 reset_n embeddedsw.configuration.isPrintableDevice 1 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment NATIVE false true true true int 0 false true false true long 2 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean false false true false true java.lang.String clk false true false true java.lang.String false true false true int 8 false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true long 0 false true true true int 0 false false true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true boolean false false true true true boolean false false true true true int 0 false false true true int 1 false true false true boolean true false true true true int 0 false true true true int 1 false true false true int 1 false false true true boolean false false true false true boolean false false true false true int 0 false false true true com.altera.sopcmodel.avalon.TimingUnits Cycles false false true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false false true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false av_chipselect Input 1 chipselect av_address Input 1 address av_read_n Input 1 read_n av_readdata Output 32 readdata av_write_n Input 1 write_n av_writedata Input 32 writedata av_waitrequest Output 1 waitrequest dataavailable Output 1 dataavailable readyfordata Output 1 readyfordata com.altera.entityinterfaces.IConnectionPoint jtag_uart_0.avalon_jtag_slave false true true true java.lang.String false true false true com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme NONE false true false true java.lang.String UNKNOWN false true true true boolean false false true true true interrupt false av_irq Output 1 irq embeddedsw.CMacro.ALLOW_MRAM_SIM_CONTENTS_ONLY_FILE 0 embeddedsw.CMacro.INIT_CONTENTS_FILE "onchip_memory2_0" embeddedsw.CMacro.NON_DEFAULT_INIT_FILE_ENABLED 0 embeddedsw.CMacro.GUI_RAM_BLOCK_TYPE "Automatic" embeddedsw.CMacro.WRITABLE 1 embeddedsw.CMacro.DUAL_PORT 1 embeddedsw.CMacro.SIZE_VALUE 2048u embeddedsw.CMacro.SIZE_MULTIPLE 1 embeddedsw.CMacro.CONTENTS_INFO "" embeddedsw.CMacro.RAM_BLOCK_TYPE "Auto" embeddedsw.CMacro.INIT_MEM_CONTENT 1 embeddedsw.CMacro.ALLOW_IN_SYSTEM_MEMORY_CONTENT_EDITOR 0 embeddedsw.CMacro.INSTANCE_ID "NONE" embeddedsw.CMacro.READ_DURING_WRITE_MODE "DONT_CARE" embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH 32 embeddedsw.memoryInfo.HAS_BYTE_LANE 0 embeddedsw.memoryInfo.GENERATE_HEX 1 embeddedsw.memoryInfo.HEX_INSTALL_DIR QPF_DIR embeddedsw.memoryInfo.GENERATE_DAT_SYM 1 embeddedsw.memoryInfo.DAT_SYM_INSTALL_DIR SIM_DIR boolean false false true true true com.altera.sopcmodel.components.avalon.AlteraAvalonOnchipMemory.AlteraAvalonOnchipMemory$BlockType AUTO false true true true int 32 false true true true java.lang.String Cyclone III false true false true boolean true false true true true boolean true false true true true java.lang.String onchip_memory2_0 false false true true java.lang.String NONE false false true true long 2048 false true true true com.altera.sopcmodel.components.avalon.AlteraAvalonOnchipMemory.AlteraAvalonOnchipMemory$ReadDuringWriteMode DONT_CARE false true true true boolean false false true true true int 1 false true true true int 1 false true true true boolean false false true true true boolean false false false true true boolean true false true true true boolean false false true true true long 0 true true false true boolean false true true false true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false clk Input 1 clk embeddedsw.configuration.isMemoryDevice 1 embeddedsw.configuration.isNonVolatileStorage 0 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment DYNAMIC false true true true int 1 false true false true long 2048 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean false false true false true java.lang.String clk1 false true false true java.lang.String false true false true int 8 false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true long 2048 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean true false true true true boolean false false true true true boolean false false true true true int 0 false false true true int 1 false true false true boolean false false true true true int 1 false true true true int 0 false true false true int 0 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false address Input 9 address chipselect Input 1 chipselect clken Input 1 clken readdata Output 32 readdata write Input 1 write writedata Input 32 writedata byteenable Input 4 byteenable long 0 true true false true boolean false true true false true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false clk2 Input 1 clk embeddedsw.configuration.isMemoryDevice 1 embeddedsw.configuration.isNonVolatileStorage 0 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment DYNAMIC false true true true int 1 false true false true long 2048 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean false false true false true java.lang.String clk2 false true false true java.lang.String false true false true int 8 false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true long 2048 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean true false true true true boolean false false true true true boolean false false true true true int 0 false false true true int 1 false true false true boolean false false true true true int 1 false true true true int 0 false true false true int 0 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false address2 Input 9 address chipselect2 Input 1 chipselect clken2 Input 1 clken readdata2 Output 32 readdata write2 Input 1 write writedata2 Input 32 writedata byteenable2 Input 4 byteenable embeddedsw.CMacro.TRANSMIT "sgdma_tx" embeddedsw.CMacro.RECEIVE "sgdma_rx" embeddedsw.CMacro.TRANSMIT_FIFO_DEPTH 1024 embeddedsw.CMacro.RECEIVE_FIFO_DEPTH 1024 embeddedsw.CMacro.FIFO_WIDTH 32 embeddedsw.CMacro.ENABLE_MACLITE 0 embeddedsw.CMacro.MACLITE_GIGE 0 embeddedsw.CMacro.USE_MDIO 1 embeddedsw.CMacro.NUMBER_OF_CHANNEL 1 embeddedsw.CMacro.NUMBER_OF_MAC_MDIO_SHARED 1 embeddedsw.CMacro.IS_MULTICHANNEL_MAC 0 embeddedsw.CMacro.MDIO_SHARED 0 embeddedsw.CMacro.REGISTER_SHARED 0 embeddedsw.CMacro.PCS 0 embeddedsw.CMacro.PCS_SGMII 0 embeddedsw.CMacro.PCS_ID 0u long 0 false true true true java.lang.String unassigned false true true true long 0 false true true true java.lang.String unassigned false true true true long 0 false true true true java.lang.String unassigned false true true true java.lang.String {TRANSMIT=sgdma_tx, RECEIVE=sgdma_rx} false true true true int 1 false true true true com.altera.megacore.tse.model.IEthernetCoreParameter$eCoreVariation MAC_ONLY false true true true long 2305 false true true true byte 0 false true true true int 8 false true true true int 6 false true true true boolean false false true true true int 0 false true true true int 8 false true true true long 2305 false true true true com.altera.entityinterfaces.moduleext.IDeviceFamily$EDeviceFamily CYCLONEIII false true true true int 10 false true true true int 1024 true true true true boolean true false true true true boolean false false false true true boolean false false true true true int 32 false true true true boolean false false true true true boolean false false true true true boolean true false true true true boolean false false true true true boolean true false true true true boolean false false true true true boolean false false true true true boolean true false true true true boolean false false true true true boolean true false true true true boolean false false true true true boolean false false true true true boolean false false false true true boolean true false true true true boolean false false true true true boolean true false true true true boolean false false true true true boolean false false false true true boolean false false true true true boolean true false true true true com.altera.megacore.tse.model.IEthernetCoreParameter$MIIInterface MII_GMII false true true true boolean false false false true true int 10 false true true true int 1024 true true true true boolean true false true true true boolean false false true true true int 1 false false true true int 20 false true true true long 0 false false true true com.altera.megacore.tse.model.IEthernetCoreParameter$eRamType AUTO false true true true byte 1 false true true true java.lang.String dionysos_nios2mmu true true true true boolean true false true true true java.lang.String timingAdapter false true true true com.altera.entityinterfaces.moduleext.megawizard.IMegaWizard$EToolContext SOPC_BUILDER false true true true com.altera.megacore.tse.model.IEthernetCoreParameter$TransceiverType GXB false false true true java.lang.String 1024 x 32 Bits true true true true int 0 false true true true java.lang.String 1024 x 32 Bits true true true true boolean false true true true true boolean false true true true true java.lang.String 0.0 MHz false true true true boolean false true true true true boolean false true true true true boolean false true true true true boolean false false true true true boolean true false true true true boolean true false true true true boolean false false true true true boolean false false true true true boolean false false true true true java.lang.String receive_clock_connection false true false true java.lang.String false true false true int 8 false true true true [Ljava.lang.String; false true true true int 0 false true true true java.lang.String Prefix,(d4,b3,c2,a1)3,Postfix false true false true int 0 false true true true int 4 false true false true java.lang.String UNKNOWN false true true true boolean false false true true true avalon_streaming false ff_tx_data Input 32 data ff_tx_eop Input 1 endofpacket ff_tx_err Input 1 error ff_tx_mod Input 2 empty ff_tx_rdy Output 1 ready ff_tx_sop Input 1 startofpacket ff_tx_wren Input 1 valid long 0 true true false true boolean false true true false true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false ff_tx_clk Input 1 clk java.lang.String transmit_clock_connection false true false true java.lang.String false true false true int 8 false true true true [Ljava.lang.String; false true true true int 0 false true true true java.lang.String Prefix,(d4,b3,c2,a1)3,Postfix false true false true int 0 false true true true int 4 false true false true java.lang.String UNKNOWN false true true true boolean false false true true true avalon_streaming true ff_rx_data Output 32 data ff_rx_dval Output 1 valid ff_rx_eop Output 1 endofpacket ff_rx_mod Output 2 empty ff_rx_rdy Input 1 ready ff_rx_sop Output 1 startofpacket rx_err Output 6 error long 0 true true false true boolean false true true false true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false ff_rx_clk Input 1 clk embeddedsw.configuration.isEthernetMacDevice 1 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment DYNAMIC false true true true int 0 false true false true long 1024 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean false false true false true java.lang.String control_port_clock_connection false true false true java.lang.String false true false true int 8 false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true long 0 false true true true int 0 false false true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true boolean false false true true true boolean false false true true true int 0 false false true true int 1 false true false true boolean false false true true true int 0 false true true true int 1 false true false true int 1 false false true true boolean false false true false true boolean false false true false true int 0 false false true true com.altera.sopcmodel.avalon.TimingUnits Cycles false false true true boolean false false true false true boolean false false true false true int 0 false true false true int 1 false true false true int 1 false false true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false address Input 8 address readdata Output 32 readdata read Input 1 read writedata Input 32 writedata write Input 1 write waitrequest Output 1 waitrequest long 0 true true false true boolean false true true false true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false clk Input 1 clk reset Input 1 reset java.lang.String UNKNOWN false true true true boolean false false true true true conduit false gm_rx_d Input 8 export gm_rx_dv Input 1 export gm_rx_err Input 1 export gm_tx_d Output 8 export gm_tx_en Output 1 export gm_tx_err Output 1 export m_rx_d Input 4 export m_rx_en Input 1 export m_rx_err Input 1 export m_tx_d Output 4 export m_tx_en Output 1 export m_tx_err Output 1 export m_rx_col Input 1 export m_rx_crs Input 1 export tx_clk Input 1 export rx_clk Input 1 export set_10 Input 1 export set_1000 Input 1 export ena_10 Output 1 export eth_mode Output 1 export mdio_out Output 1 export mdio_oen Output 1 export mdio_in Input 1 export mdc Output 1 export embeddedsw.CMacro.READ_BLOCK_DATA_WIDTH 32 embeddedsw.CMacro.WRITE_BLOCK_DATA_WIDTH 32 embeddedsw.CMacro.STREAM_DATA_WIDTH 32 embeddedsw.CMacro.ADDRESS_WIDTH 32 embeddedsw.CMacro.HAS_READ_BLOCK 0 embeddedsw.CMacro.HAS_WRITE_BLOCK 1 embeddedsw.CMacro.READ_BURSTCOUNT_WIDTH 4 embeddedsw.CMacro.WRITE_BURSTCOUNT_WIDTH 4 embeddedsw.CMacro.BURST_TRANSFER 0 embeddedsw.CMacro.ALWAYS_DO_MAX_BURST 1 embeddedsw.CMacro.DESCRIPTOR_READ_BURST 0 embeddedsw.CMacro.UNALIGNED_TRANSFER 0 embeddedsw.CMacro.CONTROL_SLAVE_DATA_WIDTH 32 embeddedsw.CMacro.CONTROL_SLAVE_ADDRESS_WIDTH 4 embeddedsw.CMacro.DESC_DATA_WIDTH 32 embeddedsw.CMacro.CHAIN_WRITEBACK_DATA_WIDTH 32 embeddedsw.CMacro.STATUS_TOKEN_DATA_WIDTH 24 embeddedsw.CMacro.BYTES_TO_TRANSFER_DATA_WIDTH 16 embeddedsw.CMacro.BURST_DATA_WIDTH 8 embeddedsw.CMacro.CONTROL_DATA_WIDTH 8 embeddedsw.CMacro.ATLANTIC_CHANNEL_DATA_WIDTH 4 embeddedsw.CMacro.COMMAND_FIFO_DATA_WIDTH 104 embeddedsw.CMacro.SYMBOLS_PER_BEAT 4 embeddedsw.CMacro.IN_ERROR_WIDTH 6 embeddedsw.CMacro.OUT_ERROR_WIDTH 0 int 64 true true true true int 32 false true true true boolean true false false true false int 2 false true true true boolean false false true true true boolean false false true true true boolean false false true true true int 2 false true true true int 32 false true true true int 4 false false true true int 6 false true true true int 0 false false true true com.altera.sopcmodel.components.avalon.sgdma.ETransferMode STREAM_TO_MEMORY false true true true int 4 false false true true java.lang.String UNKNOWN false true true true boolean false false true true true long 0 true true false true boolean false true true false true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false clk Input 1 clk reset_n Input 1 reset_n embeddedsw.configuration.affectsTransactionsOnMasters m_write com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment DYNAMIC false true true true int 0 false true false true long 64 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean false false true false true java.lang.String clk false true false true java.lang.String false true false true int 8 false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true long 0 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true boolean false false true true true boolean false false true true true int 0 false false true true int 1 false true false true boolean false false true true true int 0 false true true true int 1 false true false true int 1 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false csr_chipselect Input 1 chipselect csr_address Input 4 address csr_read Input 1 read csr_write Input 1 write csr_writedata Input 32 writedata csr_readdata Output 32 readdata com.altera.entityinterfaces.IConnectionPoint false true false true int 0 false true false true com.altera.sopcmodel.avalon.EAddrBurstUnits SYMBOLS false true false true boolean false false true false true java.lang.String clk false true false true java.lang.String false true false true int 8 false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true boolean false false true false true boolean false false true true true boolean false false true true true int 0 false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 32 false true false true int 0 false true false true int 0 false true false true int 1 false true false true boolean false false true false true boolean false false true false true int 0 false true false true com.altera.sopcmodel.avalon.TimingUnits Cycles false true false true int 0 false true false true java.lang.String UNKNOWN false true true true boolean false false true true true avalon true descriptor_read_readdata Input 32 readdata descriptor_read_readdatavalid Input 1 readdatavalid descriptor_read_waitrequest Input 1 waitrequest descriptor_read_address Output 32 address descriptor_read_read Output 1 read false descriptor_memory s1 descriptor_memory.s1 75759616 8192 com.altera.entityinterfaces.IConnectionPoint false true false true int 0 false true false true com.altera.sopcmodel.avalon.EAddrBurstUnits SYMBOLS false true false true boolean false false true false true java.lang.String clk false true false true java.lang.String false true false true int 8 false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true boolean false false true false true boolean false false true true true boolean false false true true true int 0 false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean true false true false true boolean false false true true true int 32 false true false true int 0 false true false true int 0 false true false true int 1 false true false true boolean false false true false true boolean false false true false true int 0 false true false true com.altera.sopcmodel.avalon.TimingUnits Cycles false true false true int 0 false true false true java.lang.String UNKNOWN false true true true boolean false false true true true avalon true descriptor_write_waitrequest Input 1 waitrequest descriptor_write_address Output 32 address descriptor_write_write Output 1 write descriptor_write_writedata Output 32 writedata false descriptor_memory s1 descriptor_memory.s1 75759616 8192 com.altera.entityinterfaces.IConnectionPoint sgdma_rx.csr false true true true java.lang.String false true false true com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme NONE false true false true java.lang.String UNKNOWN false true true true boolean false false true true true interrupt false csr_irq Output 1 irq com.altera.entityinterfaces.IConnectionPoint false true false true int 0 false true false true com.altera.sopcmodel.avalon.EAddrBurstUnits SYMBOLS false true false true boolean false false true false true java.lang.String clk false true false true java.lang.String false true false true int 8 false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true boolean false false true false true boolean false false true true true boolean false false true true true int 0 false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean true false true false true boolean false false true true true int 32 false true false true int 0 false true false true int 0 false true false true int 1 false true false true boolean false false true false true boolean false false true false true int 0 false true false true com.altera.sopcmodel.avalon.TimingUnits Cycles false true false true int 0 false true false true java.lang.String UNKNOWN false true true true boolean false false true true true avalon true m_write_waitrequest Input 1 waitrequest m_write_address Output 32 address m_write_write Output 1 write m_write_writedata Output 32 writedata false sdram_0 s1 sdram_0.s1 0 67108864 java.lang.String clk false true false true java.lang.String false true false true int 8 false true true true [Ljava.lang.String; false true true true int 0 false true true true java.lang.String false true false true int 0 false true true true int 4 false true false true java.lang.String UNKNOWN false true true true boolean false false true true true avalon_streaming false in_sop Input 1 startofpacket in_eop Input 1 endofpacket in_empty Input 2 empty in_data Input 32 data in_valid Input 1 valid in_ready Output 1 ready in_error Input 6 error embeddedsw.CMacro.ALLOW_MRAM_SIM_CONTENTS_ONLY_FILE 0 embeddedsw.CMacro.INIT_CONTENTS_FILE "descriptor_memory" embeddedsw.CMacro.NON_DEFAULT_INIT_FILE_ENABLED 0 embeddedsw.CMacro.GUI_RAM_BLOCK_TYPE "Automatic" embeddedsw.CMacro.WRITABLE 1 embeddedsw.CMacro.DUAL_PORT 0 embeddedsw.CMacro.SIZE_VALUE 8192u embeddedsw.CMacro.SIZE_MULTIPLE 1 embeddedsw.CMacro.CONTENTS_INFO "" embeddedsw.CMacro.RAM_BLOCK_TYPE "Auto" embeddedsw.CMacro.INIT_MEM_CONTENT 1 embeddedsw.CMacro.ALLOW_IN_SYSTEM_MEMORY_CONTENT_EDITOR 0 embeddedsw.CMacro.INSTANCE_ID "NONE" embeddedsw.CMacro.READ_DURING_WRITE_MODE "DONT_CARE" embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH 32 embeddedsw.memoryInfo.HAS_BYTE_LANE 0 embeddedsw.memoryInfo.GENERATE_HEX 1 embeddedsw.memoryInfo.HEX_INSTALL_DIR QPF_DIR embeddedsw.memoryInfo.GENERATE_DAT_SYM 1 embeddedsw.memoryInfo.DAT_SYM_INSTALL_DIR SIM_DIR boolean false false true true true com.altera.sopcmodel.components.avalon.AlteraAvalonOnchipMemory.AlteraAvalonOnchipMemory$BlockType AUTO false true true true int 32 false true true true java.lang.String Cyclone III false true false true boolean false false true true true boolean true false true true true java.lang.String descriptor_memory false false true true java.lang.String NONE false false true true long 8192 false true true true com.altera.sopcmodel.components.avalon.AlteraAvalonOnchipMemory.AlteraAvalonOnchipMemory$ReadDuringWriteMode DONT_CARE false false true true boolean false false true true true int 1 false true true true int 1 false false true true boolean false false true true true boolean false false false true true boolean true false true true true boolean false false true true true long 0 true true false true boolean false true true false true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false clk Input 1 clk embeddedsw.configuration.isMemoryDevice 1 embeddedsw.configuration.isNonVolatileStorage 0 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment DYNAMIC false true true true int 0 false true false true long 8192 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean false false true false true java.lang.String clk1 false true false true java.lang.String false true false true int 8 false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true long 8192 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean true false true true true boolean false false true true true boolean false false true true true int 0 false false true true int 1 false true false true boolean false false true true true int 1 false true true true int 0 false true false true int 0 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false address Input 11 address chipselect Input 1 chipselect clken Input 1 clken readdata Output 32 readdata write Input 1 write writedata Input 32 writedata byteenable Input 4 byteenable embeddedsw.CMacro.READ_BLOCK_DATA_WIDTH 32 embeddedsw.CMacro.WRITE_BLOCK_DATA_WIDTH 32 embeddedsw.CMacro.STREAM_DATA_WIDTH 32 embeddedsw.CMacro.ADDRESS_WIDTH 32 embeddedsw.CMacro.HAS_READ_BLOCK 1 embeddedsw.CMacro.HAS_WRITE_BLOCK 0 embeddedsw.CMacro.READ_BURSTCOUNT_WIDTH 4 embeddedsw.CMacro.WRITE_BURSTCOUNT_WIDTH 4 embeddedsw.CMacro.BURST_TRANSFER 0 embeddedsw.CMacro.ALWAYS_DO_MAX_BURST 1 embeddedsw.CMacro.DESCRIPTOR_READ_BURST 0 embeddedsw.CMacro.UNALIGNED_TRANSFER 0 embeddedsw.CMacro.CONTROL_SLAVE_DATA_WIDTH 32 embeddedsw.CMacro.CONTROL_SLAVE_ADDRESS_WIDTH 4 embeddedsw.CMacro.DESC_DATA_WIDTH 32 embeddedsw.CMacro.CHAIN_WRITEBACK_DATA_WIDTH 32 embeddedsw.CMacro.STATUS_TOKEN_DATA_WIDTH 24 embeddedsw.CMacro.BYTES_TO_TRANSFER_DATA_WIDTH 16 embeddedsw.CMacro.BURST_DATA_WIDTH 8 embeddedsw.CMacro.CONTROL_DATA_WIDTH 8 embeddedsw.CMacro.ATLANTIC_CHANNEL_DATA_WIDTH 4 embeddedsw.CMacro.COMMAND_FIFO_DATA_WIDTH 104 embeddedsw.CMacro.SYMBOLS_PER_BEAT 4 embeddedsw.CMacro.IN_ERROR_WIDTH 0 embeddedsw.CMacro.OUT_ERROR_WIDTH 1 int 64 true true true true int 32 false true true true boolean true false false true false int 2 false true true true boolean false false true true true boolean false false true true true boolean false false true true true int 2 false true true true int 32 false true true true int 4 false false true true int 0 false false true true int 1 false true true true com.altera.sopcmodel.components.avalon.sgdma.ETransferMode MEMORY_TO_STREAM false true true true int 4 false false true true java.lang.String UNKNOWN false true true true boolean false false true true true long 0 true true false true boolean false true true false true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false clk Input 1 clk reset_n Input 1 reset_n embeddedsw.configuration.affectsTransactionsOnMasters m_read com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment DYNAMIC false true true true int 0 false true false true long 64 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean false false true false true java.lang.String clk false true false true java.lang.String false true false true int 8 false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true long 0 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true boolean false false true true true boolean false false true true true int 0 false false true true int 1 false true false true boolean false false true true true int 0 false true true true int 1 false true false true int 1 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false csr_chipselect Input 1 chipselect csr_address Input 4 address csr_read Input 1 read csr_write Input 1 write csr_writedata Input 32 writedata csr_readdata Output 32 readdata com.altera.entityinterfaces.IConnectionPoint false true false true int 0 false true false true com.altera.sopcmodel.avalon.EAddrBurstUnits SYMBOLS false true false true boolean false false true false true java.lang.String clk false true false true java.lang.String false true false true int 8 false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true boolean false false true false true boolean false false true true true boolean false false true true true int 0 false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 32 false true false true int 0 false true false true int 0 false true false true int 1 false true false true boolean false false true false true boolean false false true false true int 0 false true false true com.altera.sopcmodel.avalon.TimingUnits Cycles false true false true int 0 false true false true java.lang.String UNKNOWN false true true true boolean false false true true true avalon true descriptor_read_readdata Input 32 readdata descriptor_read_readdatavalid Input 1 readdatavalid descriptor_read_waitrequest Input 1 waitrequest descriptor_read_address Output 32 address descriptor_read_read Output 1 read false descriptor_memory s1 descriptor_memory.s1 75759616 8192 com.altera.entityinterfaces.IConnectionPoint false true false true int 0 false true false true com.altera.sopcmodel.avalon.EAddrBurstUnits SYMBOLS false true false true boolean false false true false true java.lang.String clk false true false true java.lang.String false true false true int 8 false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true boolean false false true false true boolean false false true true true boolean false false true true true int 0 false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean true false true false true boolean false false true true true int 32 false true false true int 0 false true false true int 0 false true false true int 1 false true false true boolean false false true false true boolean false false true false true int 0 false true false true com.altera.sopcmodel.avalon.TimingUnits Cycles false true false true int 0 false true false true java.lang.String UNKNOWN false true true true boolean false false true true true avalon true descriptor_write_waitrequest Input 1 waitrequest descriptor_write_address Output 32 address descriptor_write_write Output 1 write descriptor_write_writedata Output 32 writedata false descriptor_memory s1 descriptor_memory.s1 75759616 8192 com.altera.entityinterfaces.IConnectionPoint sgdma_tx.csr false true true true java.lang.String false true false true com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme NONE false true false true java.lang.String UNKNOWN false true true true boolean false false true true true interrupt false csr_irq Output 1 irq com.altera.entityinterfaces.IConnectionPoint false true false true int 0 false true false true com.altera.sopcmodel.avalon.EAddrBurstUnits SYMBOLS false true false true boolean false false true false true java.lang.String clk false true false true java.lang.String false true false true int 8 false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true boolean false false true false true boolean false false true true true boolean false false true true true int 0 false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 32 false true false true int 0 false true false true int 0 false true false true int 1 false true false true boolean false false true false true boolean false false true false true int 0 false true false true com.altera.sopcmodel.avalon.TimingUnits Cycles false true false true int 0 false true false true java.lang.String UNKNOWN false true true true boolean false false true true true avalon true m_read_readdata Input 32 readdata m_read_readdatavalid Input 1 readdatavalid m_read_waitrequest Input 1 waitrequest m_read_address Output 32 address m_read_read Output 1 read false sdram_0 s1 sdram_0.s1 0 67108864 java.lang.String clk false true false true java.lang.String false true false true int 8 false true true true [Ljava.lang.String; false true true true int 0 false true true true java.lang.String false true false true int 0 false true true true int 4 false true false true java.lang.String UNKNOWN false true true true boolean false false true true true avalon_streaming true out_data Output 32 data out_valid Output 1 valid out_ready Input 1 ready out_eop Output 1 endofpacket out_sop Output 1 startofpacket out_empty Output 2 empty out_error Output 1 error embeddedsw.CMacro.ALWAYS_RUN 1 embeddedsw.CMacro.FIXED_PERIOD 1 embeddedsw.CMacro.SNAPSHOT 0 embeddedsw.CMacro.PERIOD 5 embeddedsw.CMacro.PERIOD_UNITS "s" embeddedsw.CMacro.RESET_OUTPUT 1 embeddedsw.CMacro.TIMEOUT_PULSE_OUTPUT 0 embeddedsw.CMacro.FREQ 50000000u embeddedsw.CMacro.LOAD_VALUE 249999999ULL embeddedsw.CMacro.COUNTER_SIZE 32 embeddedsw.CMacro.MULT 1.0 embeddedsw.CMacro.TICKS_PER_SEC 1u boolean true false false true true int 32 false true true true boolean true false false true true java.lang.String 5 false true true true com.altera.sopcmodel.components.avalon.AlteraAvalonTimer.AlteraAvalonTimer$TimerPeriodUnit SEC false true true true boolean true false false true true boolean false false false true true long 50000000 false true true true boolean false false false true true com.altera.sopcmodel.components.avalon.AlteraAvalonTimer.TimerPresets WATCHDOG false true true true java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.Long 50000000 true true false true java.lang.Boolean true true true false true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false clk Input 1 clk reset_n Input 1 reset_n embeddedsw.configuration.isTimerDevice 1 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment NATIVE false true true true int 0 false true false true long 8 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean false false true false true java.lang.String clk false true false true java.lang.String false true false true int 8 false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true long 0 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true boolean false false true true true boolean false false true true true int 0 false false true true int 1 false true false true boolean false false true true true int 0 false true true true int 1 false true false true int 1 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false address Input 3 address writedata Input 16 writedata readdata Output 16 readdata chipselect Input 1 chipselect write_n Input 1 write_n resetrequest Output 1 resetrequest com.altera.entityinterfaces.IConnectionPoint watchdog_timer.s1 false true true true java.lang.String false true false true com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme NONE false true false true java.lang.String UNKNOWN false true true true boolean false false true true true interrupt false irq Output 1 irq embeddedsw.CMacro.ID 1340743850u embeddedsw.CMacro.TIMESTAMP 1351848800u long 1340743850 true true true true long 1351848800 true true true true java.lang.String UNKNOWN false true true true boolean false false true true true long 0 true true false true boolean false true true false true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false clock Input 1 clk reset_n Input 1 reset_n embeddedsw.configuration.isFlash 0 embeddedsw.configuration.isMemoryDevice 0 embeddedsw.configuration.isNonVolatileStorage 0 embeddedsw.configuration.isPrintableDevice 0 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment NATIVE false true true true int 0 false true false true long 2 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean false false true false true java.lang.String clk false true false true java.lang.String false true false true int 8 false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true long 0 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true boolean false false true true true boolean false false true true true int 0 false false true true int 1 false true false true boolean false false true true true int 0 false true true true int 1 false true false true int 1 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false address Input 1 address readdata Output 32 readdata java.lang.Long 50000000 false true false true java.lang.String Cyclone III false true false true java.lang.String Cyclone III false true false true boolean false false true true true java.lang.Long 50000000 true true false true java.lang.Boolean true true true false true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false clk Input 1 clk reset_n Input 1 reset_n embeddedsw.configuration.isFlash 0 embeddedsw.configuration.isMemoryDevice 0 embeddedsw.configuration.isNonVolatileStorage 0 embeddedsw.configuration.isPrintableDevice 0 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment NATIVE false true true true int 0 false true false true long 4 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean false false true false true java.lang.String clock_reset false true false true java.lang.String false true false true int 8 false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true long 0 false true true true int 100 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true boolean false false true true true boolean false false true true true int 0 false false true true int 1 false true false true boolean false false true true true int 0 false true true true int 100 false true false true int 100 false true true true boolean false false true false true boolean false false true false true int 100 false true true true com.altera.sopcmodel.avalon.TimingUnits Nanoseconds false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 100 false true false true int 100 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false address Input 2 address readdata Output 16 readdata writedata Input 16 writedata chipselect_n Input 1 chipselect_n read_n Input 1 read_n write_n Input 1 write_n embeddedsw.configuration.isFlash 0 embeddedsw.configuration.isMemoryDevice 0 embeddedsw.configuration.isNonVolatileStorage 0 embeddedsw.configuration.isPrintableDevice 0 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment NATIVE false true true true int 0 false true false true long 1 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean false false true false true java.lang.String clock_reset false true false true java.lang.String false true false true int 8 false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true long 0 false true true true int 100 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true boolean false false true true true boolean false false true true true int 0 false false true true int 1 false true false true boolean false false true true true int 0 false true true true int 100 false true false true int 100 false true true true boolean false false true false true boolean false false true false true int 100 false true true true com.altera.sopcmodel.avalon.TimingUnits Nanoseconds false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 100 false true false true int 100 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false write_n_avalon_slave_1 Input 1 write_n writedata_avalon_slave_1 Input 8 writedata java.lang.String UNKNOWN false true true true boolean false false true true true conduit false OTG_ADDR Output 2 export OTG_DATA Bidir 16 export OTG_CS_N Output 1 export OTG_RD_N Output 1 export OTG_WR_N Output 1 export OTG_RST_N Output 1 export OTG_INT0 Input 1 export OTG_INT1 Input 1 export OTG_FSPEED Output 1 export OTG_LSPEED Output 1 export OTG_DACK0_N Output 1 export OTG_DACK1_N Output 1 export com.altera.entityinterfaces.IConnectionPoint ISP1362.avalon_slave_1 false true true true java.lang.String false true false true com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme NONE false true false true java.lang.String UNKNOWN false true true true boolean false false true true true interrupt false irq_n_avalon_slave_1 Output 1 irq_n com.altera.entityinterfaces.IConnectionPoint ISP1362.avalon_slave_0 false true true true java.lang.String false true false true com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme NONE false true false true java.lang.String UNKNOWN false true true true boolean false false true true true interrupt false irq_n Output 1 irq_n embeddedsw.CMacro.DO_TEST_BENCH_WIRING 0 embeddedsw.CMacro.DRIVEN_SIM_VALUE 0x0 embeddedsw.CMacro.HAS_TRI 0 embeddedsw.CMacro.HAS_OUT 1 embeddedsw.CMacro.HAS_IN 0 embeddedsw.CMacro.CAPTURE 0 embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER 0 embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER 1 embeddedsw.CMacro.DATA_WIDTH 16 embeddedsw.CMacro.RESET_VALUE 0x0 embeddedsw.CMacro.EDGE_TYPE "NONE" embeddedsw.CMacro.IRQ_TYPE "NONE" embeddedsw.CMacro.FREQ 50000000u boolean false false false true true boolean true false true true true boolean false false false true true long 50000000 false true true true com.altera.sopcmodel.components.avalon.AlteraAvalonPIO.AlteraAvalonPIO$Direction Output false true true true com.altera.sopcmodel.components.avalon.AlteraAvalonPIO.AlteraAvalonPIO$EdgeType RISING false false true true boolean false false false true true com.altera.sopcmodel.components.avalon.AlteraAvalonPIO.AlteraAvalonPIO$IrqType LEVEL false false true true long 0 false true true true boolean false false false true true long 0 false false true true int 16 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.Long 50000000 true true false true java.lang.Boolean true true true false true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false clk Input 1 clk reset_n Input 1 reset_n embeddedsw.configuration.isFlash 0 embeddedsw.configuration.isMemoryDevice 0 embeddedsw.configuration.isNonVolatileStorage 0 embeddedsw.configuration.isPrintableDevice 0 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment NATIVE false true true true int 0 false true false true long 8 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean false false true false true java.lang.String clk false true false true java.lang.String false true false true int 8 false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true long 0 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true boolean false false true true true boolean false false true true true int 0 false false true true int 1 false true false true boolean false false true true true int 0 false true true true int 1 false true false true int 1 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false address Input 3 address write_n Input 1 write_n writedata Input 16 writedata chipselect Input 1 chipselect java.lang.String UNKNOWN false true true true boolean false false true true true conduit false out_port Output 16 export java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.String true true false true int 0 true true false true int 1 false true true true com.altera.sopcmodel.custominstruction.CustomInstruction$ClockCycleType COMBINATORIAL true true false true int 0 true true false true boolean false false true false true int 0 false true false true int 1 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios_custom_instruction false dataa Input 32 dataa datab Input 32 datab result Output 32 result java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.String true true false true int 0 true true false true int 0 false true true true com.altera.sopcmodel.custominstruction.CustomInstruction$ClockCycleType COMBINATORIAL true true false true int 0 true true false true boolean false false true false true int 0 false true false true int 2 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios_custom_instruction false dataa Input 32 dataa result Output 32 result java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.String true true false true int 0 true true false true int 0 false true true true com.altera.sopcmodel.custominstruction.CustomInstruction$ClockCycleType COMBINATORIAL true true false true int 0 true true false true boolean false false true false true int 0 false true false true int 2 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios_custom_instruction false dataa Input 32 dataa result Output 32 result embeddedsw.CMacro.DO_TEST_BENCH_WIRING 0 embeddedsw.CMacro.DRIVEN_SIM_VALUE 0x0 embeddedsw.CMacro.HAS_TRI 0 embeddedsw.CMacro.HAS_OUT 1 embeddedsw.CMacro.HAS_IN 0 embeddedsw.CMacro.CAPTURE 0 embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER 0 embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER 1 embeddedsw.CMacro.DATA_WIDTH 4 embeddedsw.CMacro.RESET_VALUE 0x0 embeddedsw.CMacro.EDGE_TYPE "NONE" embeddedsw.CMacro.IRQ_TYPE "NONE" embeddedsw.CMacro.FREQ 50000000u boolean false false false true true boolean true false true true true boolean false false false true true long 50000000 false true true true com.altera.sopcmodel.components.avalon.AlteraAvalonPIO.AlteraAvalonPIO$Direction Output false true true true com.altera.sopcmodel.components.avalon.AlteraAvalonPIO.AlteraAvalonPIO$EdgeType RISING false false true true boolean false false false true true com.altera.sopcmodel.components.avalon.AlteraAvalonPIO.AlteraAvalonPIO$IrqType LEVEL false false true true long 0 false true true true boolean false false false true true long 0 false false true true int 4 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.Long 50000000 true true false true java.lang.Boolean true true true false true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false clk Input 1 clk reset_n Input 1 reset_n embeddedsw.configuration.isFlash 0 embeddedsw.configuration.isMemoryDevice 0 embeddedsw.configuration.isNonVolatileStorage 0 embeddedsw.configuration.isPrintableDevice 0 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment NATIVE false true true true int 0 false true false true long 8 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean false false true false true java.lang.String clk false true false true java.lang.String false true false true int 8 false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true long 0 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true boolean false false true true true boolean false false true true true int 0 false false true true int 1 false true false true boolean false false true true true int 0 false true true true int 1 false true false true int 1 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false address Input 3 address write_n Input 1 write_n writedata Input 4 writedata chipselect Input 1 chipselect java.lang.String UNKNOWN false true true true boolean false false true true true conduit false out_port Output 4 export embeddedsw.CMacro.DO_TEST_BENCH_WIRING 0 embeddedsw.CMacro.DRIVEN_SIM_VALUE 0x0 embeddedsw.CMacro.HAS_TRI 0 embeddedsw.CMacro.HAS_OUT 0 embeddedsw.CMacro.HAS_IN 1 embeddedsw.CMacro.CAPTURE 1 embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER 1 embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER 0 embeddedsw.CMacro.DATA_WIDTH 4 embeddedsw.CMacro.RESET_VALUE 0x0 embeddedsw.CMacro.EDGE_TYPE "RISING" embeddedsw.CMacro.IRQ_TYPE "EDGE" embeddedsw.CMacro.FREQ 50000000u boolean true false true true true boolean false false false true true boolean true false true true true long 50000000 false true true true com.altera.sopcmodel.components.avalon.AlteraAvalonPIO.AlteraAvalonPIO$Direction Input false true true true com.altera.sopcmodel.components.avalon.AlteraAvalonPIO.AlteraAvalonPIO$EdgeType RISING false true true true boolean true false true true true com.altera.sopcmodel.components.avalon.AlteraAvalonPIO.AlteraAvalonPIO$IrqType EDGE false true true true long 0 false false true true boolean false false true true true long 0 false false true true int 4 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.Long 50000000 true true false true java.lang.Boolean true true true false true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false clk Input 1 clk reset_n Input 1 reset_n embeddedsw.configuration.isFlash 0 embeddedsw.configuration.isMemoryDevice 0 embeddedsw.configuration.isNonVolatileStorage 0 embeddedsw.configuration.isPrintableDevice 0 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment NATIVE false true true true int 0 false true false true long 4 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean false false true false true java.lang.String clk false true false true java.lang.String false true false true int 8 false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true long 0 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true boolean false false true true true boolean false false true true true int 0 false false true true int 1 false true false true boolean false false true true true int 0 false true true true int 1 false true false true int 1 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false address Input 2 address write_n Input 1 write_n writedata Input 4 writedata chipselect Input 1 chipselect readdata Output 4 readdata java.lang.String UNKNOWN false true true true boolean false false true true true conduit false in_port Input 4 export com.altera.entityinterfaces.IConnectionPoint pio_dipswitch.s1 false true true true java.lang.String false true false true com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme NONE false true false true java.lang.String UNKNOWN false true true true boolean false false true true true interrupt false irq Output 1 irq java.lang.String UNKNOWN false true true true boolean false false true true true clk_0 clk cpu_0 clk int 1 false true true true long 0x04800000 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 instruction_master cpu_0 jtag_debug_module int 1 false true true true long 0x04800000 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 data_master cpu_0 jtag_debug_module java.lang.String UNKNOWN false true true true boolean false false true true true clk_0 clk sdram_0 clk int 1 false true true true long 0x0000 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 instruction_master sdram_0 s1 int 1 false true true true long 0x0000 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 data_master sdram_0 s1 java.lang.String UNKNOWN false true true true boolean false false true true true clk_0 clk tri_state_bridge_0 clk int 1 false true true true long 0x0000 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 instruction_master tri_state_bridge_0 avalon_slave int 1 false true true true long 0x0000 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 data_master tri_state_bridge_0 avalon_slave java.lang.String UNKNOWN false true true true boolean false false true true true clk_0 clk cfi_flash_0 clk int 1 false true true true long 0x04000000 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true tri_state_bridge_0 tristate_master cfi_flash_0 s1 java.lang.String UNKNOWN false true true true boolean false false true true true clk_0 clk epcs_flash_controller_0 clk int 1 false true true true long 0x05000000 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 instruction_master epcs_flash_controller_0 epcs_control_port int 1 false true true true long 0x05000000 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 data_master epcs_flash_controller_0 epcs_control_port int 1 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 d_irq epcs_flash_controller_0 irq java.lang.String UNKNOWN false true true true boolean false false true true true clk_0 clk timer_0 clk int 1 false true true true long 0x04400000 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 data_master timer_0 s1 int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 d_irq timer_0 irq java.lang.String UNKNOWN false true true true boolean false false true true true clk_0 clk uart_0 clk int 1 false true true true long 0x06000000 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 data_master uart_0 s1 int 2 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 d_irq uart_0 irq java.lang.String UNKNOWN false true true true boolean false false true true true clk_0 clk jtag_uart_0 clk int 1 false true true true long 0x07000000 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 data_master jtag_uart_0 avalon_jtag_slave int 3 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 d_irq jtag_uart_0 irq java.lang.String UNKNOWN false true true true boolean false false true true true clk_0 clk onchip_memory2_0 clk1 java.lang.String UNKNOWN false true true true boolean false false true true true clk_0 clk onchip_memory2_0 clk2 int 1 false true true true long 0x04802000 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 tightly_coupled_instruction_master_0 onchip_memory2_0 s1 int 1 false true true true long 0x04802000 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 tightly_coupled_data_master_0 onchip_memory2_0 s2 java.lang.String UNKNOWN false true true true boolean false false true true true clk_0 clk tse_mac receive_clock_connection java.lang.String UNKNOWN false true true true boolean false false true true true clk_0 clk tse_mac transmit_clock_connection int 1 false true true true long 0x08002400 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 data_master tse_mac control_port java.lang.String UNKNOWN false true true true boolean false false true true true clk_0 clk tse_mac control_port_clock_connection java.lang.String UNKNOWN false true true true boolean false false true true true clk_0 clk sgdma_rx clk int 1 false true true true long 0x08100000 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 data_master sgdma_rx csr int 4 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 d_irq sgdma_rx csr_irq java.lang.String UNKNOWN false true true true boolean false false true true true clk_0 clk descriptor_memory clk1 java.lang.String UNKNOWN false true true true boolean false false true true true clk_0 clk sgdma_tx clk int 1 false true true true long 0x08200000 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 data_master sgdma_tx csr int 5 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 d_irq sgdma_tx csr_irq int 1 false true true true long 0x04840000 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true sgdma_rx descriptor_read descriptor_memory s1 int 1 false true true true long 0x04840000 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true sgdma_rx descriptor_write descriptor_memory s1 int 1 false true true true long 0x0000 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true sgdma_rx m_write sdram_0 s1 java.lang.String UNKNOWN false true true true boolean false false true true true tse_mac receive sgdma_rx in java.lang.String UNKNOWN false true true true boolean false false true true true sgdma_tx out tse_mac transmit int 1 false true true true long 0x0000 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true sgdma_tx m_read sdram_0 s1 int 1 false true true true long 0x04840000 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true sgdma_tx descriptor_read descriptor_memory s1 int 1 false true true true long 0x04840000 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true sgdma_tx descriptor_write descriptor_memory s1 int 1 false true true true long 0x04840000 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 data_master descriptor_memory s1 java.lang.String UNKNOWN false true true true boolean false false true true true clk_0 clk watchdog_timer clk int 1 false true true true long 0x04880000 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 data_master watchdog_timer s1 int 6 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 d_irq watchdog_timer irq java.lang.String UNKNOWN false true true true boolean false false true true true clk_0 clk sysid clk int 1 false true true true long 0x08000000 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 data_master sysid control_slave java.lang.String UNKNOWN false true true true boolean false false true true true clk_0 clk ISP1362 clock_reset int 1 false true true true long 0x08220000 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 data_master ISP1362 avalon_slave_0 int 1 false true true true long 0x08220020 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 data_master ISP1362 avalon_slave_1 int 8 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 d_irq ISP1362 interrupt_sender_1 int 7 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 d_irq ISP1362 interrupt_sender_0 java.lang.String UNKNOWN false true true true boolean false false true true true clk_0 clk pio_leds_front clk int 1 false true true true long 0x04400020 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 data_master pio_leds_front s1 java.lang.String converter false true true true java.lang.String true true true true int 1 false true true true long 0 false true true true int -1 true true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 custom_instruction_master cpu_0_converter s1 java.lang.String ffs_inst false true true true java.lang.String true true true true int 1 false true true true long 1 false true true true int -1 true true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 custom_instruction_master cpu_0_ffs_inst nios_custom_instruction_slave_0 java.lang.String fls_inst false true true true java.lang.String true true true true int 1 false true true true long 2 false true true true int -1 true true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 custom_instruction_master cpu_0_fls_inst nios_custom_instruction_slave_0 java.lang.String UNKNOWN false true true true boolean false false true true true clk_0 clk pio_leds_board clk int 1 false true true true long 0x04400040 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 data_master pio_leds_board s1 java.lang.String UNKNOWN false true true true boolean false false true true true clk_0 clk pio_dipswitch clk int 1 false true true true long 0x04400060 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 data_master pio_dipswitch s1 int 9 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 d_irq pio_dipswitch irq 8 interrupt_sender com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Interrupt Sender 9.1 3 altera_avalon_pio com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule PIO (Parallel I/O) 9.1 2 avalon_streaming_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Avalon Streaming Sink 9.1 1 avalon_tristate_master com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Avalon Memory Mapped Tristate Master 9.1 3 nios_custom_instruction com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Nios II Custom Instruction Connection 9.1 1 altera_avalon_sysid com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule System ID Peripheral 9.1 1 altera_avalon_epcs_flash_controller com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule EPCS Serial Flash Controller 9.1 1 nios_custom_instruction_slave com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Custom Instruction Slave 9.1 1 altera_nios2 com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Nios II Processor 9.1 10 interrupt com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Interrupt Connection 9.1 2 interrupt_sender com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Interrupt Sender 9.1 1 altera_avalon_cfi_flash com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Flash Memory Interface (CFI) 9.1 1 clock_source com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Clock Source 9.1 2 altera_avalon_timer com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Interval Timer 9.1 2 avalon_streaming com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Avalon Streaming Connection 9.1 1 altera_avalon_new_sdram_controller com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule SDRAM Controller 9.1 1 altera_avalon_tri_state_bridge com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Avalon-MM Tristate Bridge 9.1 1 triple_speed_ethernet com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Triple-Speed Ethernet 9.1 22 clock com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Clock Connection 9.1 10 avalon_master com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Avalon Memory Mapped Master 9.1 1 clock_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Clock Input 9.1 1 nios_custom_instruction_master com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Custom Instruction Master 9.1 1 avalon_tristate_slave com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Avalon Memory Mapped Tristate Slave 9.1 2 nios_custom_instruction_slave com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Custom Instruction Slave 9.1 1 avalon_tristate com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Avalon Memory Mapped Tristate Connection 9.1 30 avalon com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Avalon Memory Mapped Connection 6.1 1 conduit_end com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Conduit 9.1 2 avalon_slave com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Avalon Memory Mapped Slave 9.1 22 clock_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Clock Input 9.1 1 clock_source com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Clock Output 9.1 1 ffs com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule ffs 1.0 1 altera_avalon_jtag_uart com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule JTAG UART 9.1 18 avalon_slave com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Avalon Memory Mapped Slave 9.1 2 avalon_streaming_source com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Avalon Streaming Source 9.1 2 altera_avalon_sgdma com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Scatter-Gather DMA Controller 9.1 1 fls com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule fls 1.0 1 interrupt_receiver com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Interrupt Receiver 9.1 1 altera_avalon_uart com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule UART (RS-232 Serial Port) 9.1 1 ISP1362_CTRL com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule ISP1362_CTRL 1.0 1 altera_nios_custom_instr_endian_converter com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Endian Converter 6.1 7 conduit com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Conduit Endpoint 7.1 2 altera_avalon_onchip_memory2 com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule On-Chip Memory (RAM or ROM) 9.1 9.1sp2 350 0023AE674DE00000013AC075999D