com.altera.entityinterfaces.moduleext.IDeviceFamily$EDeviceFamily CYCLONEIII false true true true boolean false false true true true boolean false false true true true com.altera.entityinterfaces.moduleext.IModuleGenerateHDL$HDLLanguage VHDL false true true true java.lang.String dionysos_nios2mmu.qpf false true true true long -19653124377 false true true true long 1269616531099 false true true true long 50000000 false true true true boolean true false true true true long 0 false true false true java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.String clk_in false true false true long 50000000 false true false true boolean true false true false true boolean true false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock true reset_n_out Output 1 reset_n clk_out Output 1 clk false cpu_0 clk cpu_0.clk false sdram_0 clk sdram_0.clk false tri_state_bridge_0 clk tri_state_bridge_0.clk false cfi_flash_0 clk cfi_flash_0.clk false epcs_flash_controller_0 clk epcs_flash_controller_0.clk false timer_0 clk timer_0.clk false uart_0 clk uart_0.clk false jtag_uart_0 clk jtag_uart_0.clk false onchip_memory2_0 clk1 onchip_memory2_0.clk1 false onchip_memory2_0 clk2 onchip_memory2_0.clk2 java.lang.Long 0 true true false true java.lang.Boolean false true true false true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false in_clk Input 1 clk in_reset_n Input 1 reset_n embeddedsw.configuration.cpuArchitecture Nios II embeddedsw.configuration.HDLSimCachesCleared 1 embeddedsw.CMacro.CPU_IMPLEMENTATION "fast" embeddedsw.CMacro.BIG_ENDIAN 0 embeddedsw.CMacro.CPU_FREQ 50000000u embeddedsw.CMacro.ICACHE_LINE_SIZE 32 embeddedsw.CMacro.ICACHE_LINE_SIZE_LOG2 5 embeddedsw.CMacro.ICACHE_SIZE 4096 embeddedsw.CMacro.DCACHE_LINE_SIZE 32 embeddedsw.CMacro.DCACHE_LINE_SIZE_LOG2 5 embeddedsw.CMacro.DCACHE_SIZE 2048 embeddedsw.CMacro.INITDA_SUPPORTED embeddedsw.CMacro.FLUSHDA_SUPPORTED embeddedsw.CMacro.HAS_JMPI_INSTRUCTION embeddedsw.configuration.resetSlave cfi_flash_0.s1 embeddedsw.configuration.resetOffset 0 embeddedsw.configuration.exceptionSlave sdram_0.s1 embeddedsw.configuration.exceptionOffset 32 embeddedsw.configuration.breakSlave cpu_0.jtag_debug_module embeddedsw.configuration.breakOffset 32 embeddedsw.CMacro.MMU_PRESENT embeddedsw.CMacro.KERNEL_REGION_BASE 0xc0000000 embeddedsw.CMacro.IO_REGION_BASE 0xe0000000 embeddedsw.CMacro.KERNEL_MMU_REGION_BASE 0x80000000 embeddedsw.CMacro.USER_REGION_BASE 0x0 embeddedsw.CMacro.PROCESS_ID_NUM_BITS 8 embeddedsw.CMacro.TLB_NUM_WAYS 16 embeddedsw.CMacro.TLB_NUM_WAYS_LOG2 4 embeddedsw.CMacro.TLB_PTR_SZ 8 embeddedsw.CMacro.TLB_NUM_ENTRIES 256 embeddedsw.CMacro.FAST_TLB_MISS_EXCEPTION_ADDR 0xc0001000 embeddedsw.configuration.mmu_TLBMissExcSlave onchip_memory2_0.s1 embeddedsw.configuration.mmu_TLBMissExcOffset 0 embeddedsw.CMacro.EXCEPTION_ADDR 0xc1000020 embeddedsw.CMacro.RESET_ADDR 0xc2400000 embeddedsw.CMacro.BREAK_ADDR 0xc2801020 embeddedsw.CMacro.HAS_DEBUG_STUB embeddedsw.CMacro.HAS_DEBUG_CORE 1 embeddedsw.CMacro.HAS_ILLEGAL_INSTRUCTION_EXCEPTION embeddedsw.CMacro.HAS_ILLEGAL_MEMORY_ACCESS_EXCEPTION embeddedsw.CMacro.HAS_EXTRA_EXCEPTION_INFO embeddedsw.CMacro.CPU_ID_SIZE 1 embeddedsw.CMacro.CPU_ID_VALUE 0x0 embeddedsw.CMacro.HARDWARE_DIVIDE_PRESENT 0 embeddedsw.CMacro.HARDWARE_MULTIPLY_PRESENT 1 embeddedsw.CMacro.HARDWARE_MULX_PRESENT 0 embeddedsw.CMacro.INST_ADDR_WIDTH 26 embeddedsw.CMacro.DATA_ADDR_WIDTH 26 embeddedsw.CMacro.NUM_OF_SHADOW_REG_SETS 0 java.lang.String false true true true boolean false false true true true boolean false false true true true int 0 false true true true boolean false false false true true boolean false false false true true boolean false false false true true boolean false false true true true com.altera.nios2.components.Nios2InternalSettings$OptPerfCounterWidth _32 false false true true com.altera.nios2.components.Nios2InternalSettings$OptInterruptControllerType Internal false true true true boolean false false false true true boolean false false false true true boolean false false true true true boolean false false false true true boolean false false true true true boolean false false true true true boolean true false true true true com.altera.nios2.components.Nios2InternalSettings$OptBranchPredictionType Automatic false true true true boolean true false false true true boolean false false true true true com.altera.nios2.components.Nios2InternalSettings$OptBhtPtrSz _8 false true true true boolean false false true true true boolean false false true true true boolean true false true true true boolean false false false true true boolean true false true true true boolean false false true true true boolean true false true true true boolean false false true true true boolean true false true true true boolean false false true true true com.altera.entityinterfaces.IConnectionPoint cfi_flash_0.s1 false true true true long 0 false true true true com.altera.nios2.components.Nios2MultiplierDivider$OptMultiplier EmbeddedMulFast false true true true boolean false false true true true boolean false false false true true int 8 false false true true int 8 false false true true com.altera.nios2.components.Nios2MPU$OptRegionSize _12 false false true true com.altera.nios2.components.Nios2MPU$OptRegionSize _12 false false true true boolean false false false true true com.altera.nios2.components.Nios2MMU$OptTlbNumEntries _4 false true true true com.altera.nios2.components.Nios2MMU$OptTlbNumEntries _6 false true true true com.altera.nios2.components.Nios2MMU$OptTlbPtrSz _7 false false true true com.altera.nios2.components.Nios2MMU$OptTlbNumWays _16 false true true true com.altera.nios2.components.Nios2MMU$OptProcessIDNumBits _8 false true true true boolean true false true true true boolean true false true true true com.altera.entityinterfaces.IConnectionPoint onchip_memory2_0.s1 false true true true int 0 false true true true boolean false false true true true com.altera.nios2.components.INios2Component$Impl Fast false true true true com.altera.nios2.components.Nios2AbstractCache$OptBytes _4096 false true true true com.altera.nios2.components.Nios2AbstractCache$OptRamBlockType Automatic false true true true com.altera.nios2.components.Nios2AbstractCache$OptNumTCM _1 false true true true com.altera.nios2.components.Nios2ICache$OptBurstType None false true true true com.altera.entityinterfaces.IConnectionPoint sdram_0.s1 false true true true long 32 false true true true boolean true false true true true com.altera.nios2.components.Nios2Debug$OptLevel Level1 false true true true int 0 false false true true boolean true false false true true boolean false false true true true boolean false false true true true com.altera.nios2.components.Nios2Debug$OptOCIOnchipTrace _128 false false true true com.altera.nios2.components.Nios2AbstractCache$OptBytes _2048 false true true true com.altera.nios2.components.Nios2AbstractCache$OptRamBlockType Automatic false true true true boolean false false true true true com.altera.nios2.components.Nios2AbstractCache$OptNumTCM _1 false true true true com.altera.nios2.components.Nios2DCache$OptLineSize _32 false true true true boolean false false true true true boolean false false true true true long 0 false false true true long 50000000 false true true true com.altera.entityinterfaces.IConnectionPoint cpu_0.jtag_debug_module false false true true long 32 false false true true java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.Long 50000000 true true false true java.lang.Boolean true true true false true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false clk Input 1 clk reset_n Input 1 reset_n com.altera.entityinterfaces.IConnectionPoint false true false true int 1 false true false true com.altera.sopcmodel.avalon.EAddrBurstUnits SYMBOLS false true false true boolean false false true false true java.lang.String clk false true false true java.lang.String false true false true int 8 false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true boolean false false true false true boolean false false true true true boolean false false true true true int 0 false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 32 false true false true int 0 false true false true int 0 false true false true int 1 false true false true boolean false false true false true boolean false false true false true int 0 false true false true com.altera.sopcmodel.avalon.TimingUnits Cycles false true false true int 0 false true false true java.lang.String UNKNOWN false true true true boolean false false true true true avalon true i_address Output 26 address i_read Output 1 read i_readdata Input 32 readdata i_readdatavalid Input 1 readdatavalid i_waitrequest Input 1 waitrequest false cpu_0 jtag_debug_module cpu_0.jtag_debug_module 41947136 2048 false sdram_0 s1 sdram_0.s1 16777216 16777216 false cfi_flash_0 s1 cfi_flash_0.s1 37748736 4194304 false epcs_flash_controller_0 epcs_control_port epcs_flash_controller_0.epcs_control_port 41949184 2048 com.altera.entityinterfaces.IConnectionPoint false true false true int 1 false true false true com.altera.sopcmodel.avalon.EAddrBurstUnits SYMBOLS false true false true boolean false false true false true java.lang.String clk false true false true java.lang.String false true false true int 8 false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true boolean false false true false true boolean false false true true true boolean false false true true true int 0 false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 32 false true false true int 0 false true false true int 0 false true false true int 1 false true false true boolean false false true false true boolean false false true false true int 0 false true false true com.altera.sopcmodel.avalon.TimingUnits Cycles false true false true int 0 false true false true java.lang.String UNKNOWN false true true true boolean false false true true true avalon true icm0_address Output 26 address icm0_read Output 1 read icm0_readdata Input 32 readdata icm0_readdatavalid Input 1 readdatavalid icm0_waitrequest Input 1 waitrequest icm0_clken Output 1 clken false onchip_memory2_0 s1 onchip_memory2_0.s1 4096 1024 com.altera.entityinterfaces.IConnectionPoint false true false true int 1 false true false true com.altera.sopcmodel.avalon.EAddrBurstUnits SYMBOLS false true false true boolean false false true false true java.lang.String clk false true false true java.lang.String false true false true int 8 false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true boolean false false true false true boolean false false true true true boolean false false true true true int 0 false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 32 false true false true int 0 false true false true int 0 false true false true int 1 false true false true boolean false false true false true boolean false false true false true int 0 false true false true com.altera.sopcmodel.avalon.TimingUnits Cycles false true false true int 0 false true false true java.lang.String UNKNOWN false true true true boolean false false true true true avalon true d_address Output 26 address d_byteenable Output 4 byteenable d_read Output 1 read d_readdata Input 32 readdata d_readdatavalid Input 1 readdatavalid d_waitrequest Input 1 waitrequest d_write Output 1 write d_writedata Output 32 writedata jtag_debug_module_debugaccess_to_roms Output 1 debugaccess false cpu_0 jtag_debug_module cpu_0.jtag_debug_module 41947136 2048 false sdram_0 s1 sdram_0.s1 16777216 16777216 false cfi_flash_0 s1 cfi_flash_0.s1 37748736 4194304 false epcs_flash_controller_0 epcs_control_port epcs_flash_controller_0.epcs_control_port 41949184 2048 false timer_0 s1 timer_0.s1 41951232 32 false uart_0 s1 uart_0.s1 41951264 32 false jtag_uart_0 avalon_jtag_slave jtag_uart_0.avalon_jtag_slave 41951296 8 com.altera.entityinterfaces.IConnectionPoint cpu_0.data_master false true true true java.lang.String false true false true com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme INDIVIDUAL_REQUESTS false true true true java.lang.String UNKNOWN false true true true boolean false false true true true interrupt true d_irq Input 32 irq false epcs_flash_controller_0 irq epcs_flash_controller_0.irq 1 false timer_0 irq timer_0.irq 0 false uart_0 irq uart_0.irq 2 false jtag_uart_0 irq jtag_uart_0.irq 3 com.altera.entityinterfaces.IConnectionPoint false true false true int 1 false true false true com.altera.sopcmodel.avalon.EAddrBurstUnits SYMBOLS false true false true boolean false false true false true java.lang.String clk false true false true java.lang.String false true false true int 8 false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true boolean false false true false true boolean false false true true true boolean false false true true true int 0 false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 32 false true false true int 0 false true false true int 0 false true false true int 1 false true false true boolean false false true false true boolean false false true false true int 0 false true false true com.altera.sopcmodel.avalon.TimingUnits Cycles false true false true int 0 false true false true java.lang.String UNKNOWN false true true true boolean false false true true true avalon true dcm0_address Output 26 address dcm0_byteenable Output 4 byteenable dcm0_clken Output 1 clken dcm0_read Output 1 read dcm0_readdata Input 32 readdata dcm0_readdatavalid Input 1 readdatavalid dcm0_waitrequest Input 1 waitrequest dcm0_write Output 1 write dcm0_writedata Output 32 writedata false onchip_memory2_0 s2 onchip_memory2_0.s2 0 1024 embeddedsw.configuration.isMemoryDevice 1 embeddedsw.configuration.hideDevice 1 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment DYNAMIC false true true true int 0 false true false true long 2048 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean false false true false true java.lang.String clk false true false true java.lang.String false true false true int 8 false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true long 0 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean true false true true true boolean false false true true true boolean false false true true true int 0 false false true true int 1 false true false true boolean false false true true true int 0 false true true true int 1 false true false true int 1 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false jtag_debug_module_address Input 9 address jtag_debug_module_begintransfer Input 1 begintransfer jtag_debug_module_byteenable Input 4 byteenable jtag_debug_module_debugaccess Input 1 debugaccess jtag_debug_module_readdata Output 32 readdata jtag_debug_module_resetrequest Output 1 resetrequest jtag_debug_module_select Input 1 chipselect jtag_debug_module_write Input 1 write jtag_debug_module_writedata Input 32 writedata java.lang.String true true false true int 8 false true false true boolean false false true false true int 8 false true false true int 0 true true false true java.lang.String UNKNOWN false true true true boolean false false true true true nios_custom_instruction true dataa Output 32 dataa datab Output 32 datab result Input 32 result clk_en Output 1 clk_en reset Output 1 reset start Output 1 start done Input 1 done n Output 8 n a Output 5 a b Output 5 b c Output 5 c readra Output 1 readra readrb Output 1 readrb writerc Output 1 writerc embeddedsw.CMacro.REGISTER_DATA_IN 1 embeddedsw.CMacro.SIM_MODEL_BASE 1 embeddedsw.CMacro.SDRAM_DATA_WIDTH 16 embeddedsw.CMacro.SDRAM_ADDR_WIDTH 23 embeddedsw.CMacro.SDRAM_ROW_WIDTH 12 embeddedsw.CMacro.SDRAM_COL_WIDTH 9 embeddedsw.CMacro.SDRAM_NUM_CHIPSELECTS 1 embeddedsw.CMacro.SDRAM_NUM_BANKS 4 embeddedsw.CMacro.REFRESH_PERIOD 15.625 embeddedsw.CMacro.POWERUP_DELAY 100.0 embeddedsw.CMacro.CAS_LATENCY 3 embeddedsw.CMacro.T_RFC 70.0 embeddedsw.CMacro.T_RP 20.0 embeddedsw.CMacro.T_MRD 3 embeddedsw.CMacro.T_RCD 20.0 embeddedsw.CMacro.T_AC 5.5 embeddedsw.CMacro.T_WR 14.0 embeddedsw.CMacro.INIT_REFRESH_COMMANDS 2 embeddedsw.CMacro.INIT_NOP_DELAY 0.0 embeddedsw.CMacro.SHARED_DATA 0 embeddedsw.CMacro.STARVATION_INDICATOR 0 embeddedsw.CMacro.TRISTATE_BRIDGE_SLAVE "" embeddedsw.CMacro.IS_INITIALIZED 1 embeddedsw.CMacro.SDRAM_BANK_WIDTH 2 embeddedsw.CMacro.CONTENTS_INFO "" embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH 16 embeddedsw.memoryInfo.GENERATE_DAT_SYM 1 embeddedsw.memoryInfo.DAT_SYM_INSTALL_DIR SIM_DIR double 5.5 false true true true long 3 false true true true double 20.0 false true true true double 70.0 false true true true double 20.0 false true true true double 14.0 false true true true int 3 false true true true long 50000000 false true true true int 9 false true true true int 16 false true true true boolean true false true true true double 0.0 false true true true int 2 false true true true com.altera.entityinterfaces.IConnectionPoint false false true true com.altera.sopcmodel.components.avalon.AlteraAvalonSDRAMController.ModelMangler$PresetModels custom false true true true int 4 false true true true int 1 false true true true boolean false false true true true double 100.0 false true true true double 15.625 false true true true boolean true false true true true int 12 false true true true long 16777216 true true true true java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.Long 50000000 true true false true java.lang.Boolean true true true false true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false clk Input 1 clk reset_n Input 1 reset_n embeddedsw.configuration.isMemoryDevice 1 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment DYNAMIC false true true true int 0 false true false true long 16777216 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean false false true false true java.lang.String clk false true false true java.lang.String false true false true int 8 false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true long 0 false true true true int 0 false false true true boolean false false true false true boolean false false true false true boolean false false true false true boolean true false true true true boolean false false true true true boolean false false true true true int 7 false true true true int 1 false true false true boolean false false true true true int 0 false false true true int 1 false true false true int 1 false false true true boolean false false true false true boolean false false true false true int 0 false false true true com.altera.sopcmodel.avalon.TimingUnits Cycles false false true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false false true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false az_addr Input 23 address az_be_n Input 2 byteenable_n az_cs Input 1 chipselect az_data Input 16 writedata az_rd_n Input 1 read_n az_wr_n Input 1 write_n za_data Output 16 readdata za_valid Output 1 readdatavalid za_waitrequest Output 1 waitrequest java.lang.String UNKNOWN false true true true boolean false false true true true conduit false zs_addr Output 12 export zs_ba Output 2 export zs_cas_n Output 1 export zs_cke Output 1 export zs_cs_n Output 1 export zs_dq Output 16 export zs_dqm Output 2 export zs_ras_n Output 1 export zs_we_n Output 1 export boolean true false true true true java.lang.String UNKNOWN false true true true boolean false false true true true long 0 true true false true boolean false true true false true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false embeddedsw.configuration.isFlash 0 embeddedsw.configuration.isMemoryDevice 0 embeddedsw.configuration.isNonVolatileStorage 0 embeddedsw.configuration.isPrintableDevice 0 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment DYNAMIC false true true true int 0 false true false true long 1 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean false false true false true java.lang.String clk false true false true java.lang.String false true false true int 8 false true false true com.altera.entityinterfaces.IConnectionPoint tri_state_bridge_0.tristate_master false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true long 0 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true boolean false false true true true boolean false false true true true int 0 false false true true int 1 false true false true boolean false false true true true int 0 false true true true int 1 false true false true int 1 false true true true boolean true false true false true boolean true false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean true false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false com.altera.entityinterfaces.IConnectionPoint false true false true int 0 false true false true boolean false false true false true boolean false false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 32 false true false true boolean false false true false true boolean false false true false true java.lang.String UNKNOWN false true true true boolean false false true true true avalon_tristate true false cfi_flash_0 s1 cfi_flash_0.s1 37748736 4194304 embeddedsw.CMacro.SETUP_VALUE 40 embeddedsw.CMacro.WAIT_VALUE 160 embeddedsw.CMacro.HOLD_VALUE 40 embeddedsw.CMacro.TIMING_UNITS "ns" embeddedsw.CMacro.SIZE 4194304u embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH 8 embeddedsw.memoryInfo.HAS_BYTE_LANE 0 embeddedsw.memoryInfo.IS_FLASH 1 embeddedsw.memoryInfo.GENERATE_DAT_SYM 1 embeddedsw.memoryInfo.GENERATE_FLASH 1 embeddedsw.memoryInfo.DAT_SYM_INSTALL_DIR SIM_DIR embeddedsw.memoryInfo.FLASH_INSTALL_DIR APP_DIR double 40.0 true true true true double 40.0 true true true true double 160.0 true true true true int 22 false true true true long 50000000 false true true true com.altera.sopcmodel.components.avalon.AlteraAvalonCommonFlashInterface.FlashCorePresets CUSTOM false true true true int 8 false true true true int 40 false true true true int 40 false true true true [Ljava.lang.String; s1/address,s1/data,s1/read_n false true true true com.altera.sopcmodel.components.avalon.AlteraAvalonCommonFlashInterface.FlashTimingUnits NS false true true true int 160 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.Long 50000000 true true false true java.lang.Boolean true true true false true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false embeddedsw.configuration.isNonVolatileStorage 1 embeddedsw.configuration.isFlash 1 embeddedsw.configuration.isMemoryDevice 1 boolean false false true true true com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment DYNAMIC false true false true int 0 false true false true long 4194304 true true false true boolean false false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true false true long 0 false true true true int 40 false true true true boolean false false true false true boolean false false true false true boolean true false true false true boolean true false true true true boolean true false true true true boolean false false true false true int 0 false true true true int 1 false true false true boolean false false true true true int 0 false true true true int 160 false true false true int 160 false true true true boolean false false true false true boolean false false true false true int 40 false true true true com.altera.sopcmodel.avalon.TimingUnits Nanoseconds false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 160 false true false true int 160 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon_tristate false data Bidir 8 data address Input 22 address read_n Input 1 read_n write_n Input 1 write_n select_n Input 1 chipselect_n embeddedsw.CMacro.REGISTER_OFFSET 1024 embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH 32 embeddedsw.memoryInfo.MEM_INIT_FILENAME epcs_flash_controller_0_boot_rom embeddedsw.memoryInfo.IS_EPCS 1 embeddedsw.memoryInfo.IS_FLASH 1 embeddedsw.memoryInfo.GENERATE_HEX 1 embeddedsw.memoryInfo.GENERATE_DAT_SYM 1 embeddedsw.memoryInfo.GENERATE_FLASH 1 embeddedsw.memoryInfo.HEX_INSTALL_DIR SIM_DIR embeddedsw.memoryInfo.DAT_SYM_INSTALL_DIR SIM_DIR embeddedsw.memoryInfo.FLASH_INSTALL_DIR APP_DIR boolean true false true true true java.lang.String Cyclone III false true false true boolean false false false true true java.lang.String UNKNOWN false true true true boolean false false true true true long 0 true true false true boolean false true true false true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false clk Input 1 clk reset_n Input 1 reset_n embeddedsw.configuration.isNonVolatileStorage 1 embeddedsw.configuration.isFlash 1 embeddedsw.configuration.isMemoryDevice 1 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment DYNAMIC false true true true int 0 false true false true long 2048 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean false false true false true java.lang.String clk false true false true java.lang.String false true false true int 8 false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true long 0 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean true false true false true boolean true false true true true boolean true false true true true boolean false false true true true int 0 false false true true int 1 false true false true boolean false false true true true int 0 false true true true int 1 false true false true int 1 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 1 false true false true int 1 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false address Input 9 address chipselect Input 1 chipselect dataavailable Output 1 dataavailable endofpacket Output 1 endofpacket read_n Input 1 read_n readdata Output 32 readdata readyfordata Output 1 readyfordata write_n Input 1 write_n writedata Input 32 writedata com.altera.entityinterfaces.IConnectionPoint epcs_flash_controller_0.epcs_control_port false true true true java.lang.String false true false true com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme NONE false true false true java.lang.String UNKNOWN false true true true boolean false false true true true interrupt false irq Output 1 irq java.lang.String UNKNOWN false true true true boolean false false true true true conduit false dclk Output 1 export sce Output 1 export sdo Output 1 export data0 Input 1 export embeddedsw.CMacro.ALWAYS_RUN 0 embeddedsw.CMacro.FIXED_PERIOD 0 embeddedsw.CMacro.SNAPSHOT 1 embeddedsw.CMacro.PERIOD 1 embeddedsw.CMacro.PERIOD_UNITS "ms" embeddedsw.CMacro.RESET_OUTPUT 0 embeddedsw.CMacro.TIMEOUT_PULSE_OUTPUT 0 embeddedsw.CMacro.FREQ 50000000u embeddedsw.CMacro.LOAD_VALUE 49999ULL embeddedsw.CMacro.COUNTER_SIZE 32 embeddedsw.CMacro.MULT 0.0010 embeddedsw.CMacro.TICKS_PER_SEC 1000u boolean false false true true true int 32 false true true true boolean false false true true true java.lang.String 1 false true true true com.altera.sopcmodel.components.avalon.AlteraAvalonTimer.AlteraAvalonTimer$TimerPeriodUnit MSEC false true true true boolean false false true true true boolean true false true true true long 50000000 false true true true boolean false false true true true com.altera.sopcmodel.components.avalon.AlteraAvalonTimer.TimerPresets CUSTOM false true true true java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.Long 50000000 true true false true java.lang.Boolean true true true false true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false clk Input 1 clk reset_n Input 1 reset_n embeddedsw.configuration.isTimerDevice 1 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment NATIVE false true true true int 0 false true false true long 8 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean false false true false true java.lang.String clk false true false true java.lang.String false true false true int 8 false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true long 0 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true boolean false false true true true boolean false false true true true int 0 false false true true int 1 false true false true boolean false false true true true int 0 false true true true int 1 false true false true int 1 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false address Input 3 address writedata Input 16 writedata readdata Output 16 readdata chipselect Input 1 chipselect write_n Input 1 write_n com.altera.entityinterfaces.IConnectionPoint timer_0.s1 false true true true java.lang.String false true false true com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme NONE false true false true java.lang.String UNKNOWN false true true true boolean false false true true true interrupt false irq Output 1 irq embeddedsw.CMacro.BAUD 115200 embeddedsw.CMacro.DATA_BITS 8 embeddedsw.CMacro.FIXED_BAUD 1 embeddedsw.CMacro.PARITY 'N' embeddedsw.CMacro.STOP_BITS 1 embeddedsw.CMacro.SYNC_REG_DEPTH 2 embeddedsw.CMacro.USE_CTS_RTS 0 embeddedsw.CMacro.USE_EOP_REGISTER 0 embeddedsw.CMacro.SIM_TRUE_BAUD 0 embeddedsw.CMacro.SIM_CHAR_STREAM "" embeddedsw.CMacro.FREQ 50000000u int 115200 false true true true double 0.01 true true true true long 50000000 false true true true int 8 false true true true boolean true false true true true com.altera.sopcmodel.components.avalon.AlteraAvalonUART.AlteraAvalonUART$UartParity NONE false true true true java.lang.String false true true true boolean false false true true true boolean false false true true true boolean false false true true true int 1 false true true true int 2 false true true true boolean false false true true true boolean false false true true true boolean false false true true true java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.Long 50000000 true true false true java.lang.Boolean true true true false true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false clk Input 1 clk reset_n Input 1 reset_n embeddedsw.configuration.isPrintableDevice 1 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment NATIVE false true true true int 0 false true false true long 8 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean false false true false true java.lang.String clk false true false true java.lang.String false true false true int 8 false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true long 0 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true boolean false false true true true boolean false false true true true int 0 false false true true int 1 false true false true boolean true false true true true int 0 false true true true int 1 false true false true int 1 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 1 false true false true int 1 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false address Input 3 address begintransfer Input 1 begintransfer chipselect Input 1 chipselect read_n Input 1 read_n write_n Input 1 write_n writedata Input 16 writedata readdata Output 16 readdata dataavailable Output 1 dataavailable readyfordata Output 1 readyfordata java.lang.String UNKNOWN false true true true boolean false false true true true conduit false rxd Input 1 export txd Output 1 export com.altera.entityinterfaces.IConnectionPoint uart_0.s1 false true true true java.lang.String false true false true com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme NONE false true false true java.lang.String UNKNOWN false true true true boolean false false true true true interrupt false irq Output 1 irq embeddedsw.CMacro.WRITE_DEPTH 64 embeddedsw.CMacro.READ_DEPTH 64 embeddedsw.CMacro.WRITE_THRESHOLD 8 embeddedsw.CMacro.READ_THRESHOLD 8 boolean false false true false true int 0 false true true true int 64 false true true true int 8 false true true true java.lang.String false true true true com.altera.sopcmodel.components.avalon.AlteraAvalonJtagUART.AlteraAvalonJtagUART$JtagSimulationOptions INTERACTIVE_ASCII_OUTPUT false true true true boolean false false true true true boolean false false true true true boolean false false true true true int 64 false true true true int 8 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true long 0 true true false true boolean false true true false true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false clk Input 1 clk rst_n Input 1 reset_n embeddedsw.configuration.isPrintableDevice 1 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment NATIVE false true true true int 0 false true false true long 2 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean false false true false true java.lang.String clk false true false true java.lang.String false true false true int 8 false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true long 0 false true true true int 0 false false true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true boolean false false true true true boolean false false true true true int 0 false false true true int 1 false true false true boolean true false true true true int 0 false true true true int 1 false true false true int 1 false false true true boolean false false true false true boolean false false true false true int 0 false false true true com.altera.sopcmodel.avalon.TimingUnits Cycles false false true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false false true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false av_chipselect Input 1 chipselect av_address Input 1 address av_read_n Input 1 read_n av_readdata Output 32 readdata av_write_n Input 1 write_n av_writedata Input 32 writedata av_waitrequest Output 1 waitrequest dataavailable Output 1 dataavailable readyfordata Output 1 readyfordata com.altera.entityinterfaces.IConnectionPoint jtag_uart_0.avalon_jtag_slave false true true true java.lang.String false true false true com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme NONE false true false true java.lang.String UNKNOWN false true true true boolean false false true true true interrupt false av_irq Output 1 irq embeddedsw.CMacro.ALLOW_MRAM_SIM_CONTENTS_ONLY_FILE 0 embeddedsw.CMacro.INIT_CONTENTS_FILE "onchip_memory2_0" embeddedsw.CMacro.NON_DEFAULT_INIT_FILE_ENABLED 0 embeddedsw.CMacro.GUI_RAM_BLOCK_TYPE "Automatic" embeddedsw.CMacro.WRITABLE 1 embeddedsw.CMacro.DUAL_PORT 1 embeddedsw.CMacro.SIZE_VALUE 1024u embeddedsw.CMacro.SIZE_MULTIPLE 1 embeddedsw.CMacro.CONTENTS_INFO "" embeddedsw.CMacro.RAM_BLOCK_TYPE "Auto" embeddedsw.CMacro.INIT_MEM_CONTENT 1 embeddedsw.CMacro.ALLOW_IN_SYSTEM_MEMORY_CONTENT_EDITOR 0 embeddedsw.CMacro.INSTANCE_ID "NONE" embeddedsw.CMacro.READ_DURING_WRITE_MODE "DONT_CARE" embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH 32 embeddedsw.memoryInfo.HAS_BYTE_LANE 0 embeddedsw.memoryInfo.GENERATE_HEX 1 embeddedsw.memoryInfo.HEX_INSTALL_DIR QPF_DIR embeddedsw.memoryInfo.GENERATE_DAT_SYM 1 embeddedsw.memoryInfo.DAT_SYM_INSTALL_DIR SIM_DIR boolean false false true true true com.altera.sopcmodel.components.avalon.AlteraAvalonOnchipMemory.AlteraAvalonOnchipMemory$BlockType AUTO false true true true int 32 false true true true java.lang.String Cyclone III false true false true boolean true false true true true boolean true false true true true java.lang.String onchip_memory2_0 false false true true java.lang.String NONE false false true true long 1024 false true true true com.altera.sopcmodel.components.avalon.AlteraAvalonOnchipMemory.AlteraAvalonOnchipMemory$ReadDuringWriteMode DONT_CARE false true true true boolean false false true true true int 1 false true true true int 1 false true true true boolean false false true true true boolean false false false true true boolean true false true true true boolean false false true true true long 0 true true false true boolean false true true false true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false clk Input 1 clk embeddedsw.configuration.isMemoryDevice 1 embeddedsw.configuration.isNonVolatileStorage 0 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment DYNAMIC false true true true int 1 false true false true long 1024 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean false false true false true java.lang.String clk1 false true false true java.lang.String false true false true int 8 false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true long 1024 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean true false true true true boolean false false true true true boolean false false true true true int 0 false false true true int 1 false true false true boolean false false true true true int 1 false true true true int 0 false true false true int 0 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false address Input 8 address chipselect Input 1 chipselect clken Input 1 clken readdata Output 32 readdata write Input 1 write writedata Input 32 writedata byteenable Input 4 byteenable long 0 true true false true boolean false true true false true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false clk2 Input 1 clk embeddedsw.configuration.isMemoryDevice 1 embeddedsw.configuration.isNonVolatileStorage 0 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment DYNAMIC false true true true int 1 false true false true long 1024 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean false false true false true java.lang.String clk2 false true false true java.lang.String false true false true int 8 false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true false true boolean true false true false true long 1024 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean true false true true true boolean false false true true true boolean false false true true true int 0 false false true true int 1 false true false true boolean false false true true true int 1 false true true true int 0 false true false true int 0 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false address2 Input 8 address chipselect2 Input 1 chipselect clken2 Input 1 clken readdata2 Output 32 readdata write2 Input 1 write writedata2 Input 32 writedata byteenable2 Input 4 byteenable java.lang.String UNKNOWN false true true true boolean false false true true true clk_0 clk cpu_0 clk int 1 false true true true long 0x02801000 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 instruction_master cpu_0 jtag_debug_module int 1 false true true true long 0x02801000 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 data_master cpu_0 jtag_debug_module java.lang.String UNKNOWN false true true true boolean false false true true true clk_0 clk sdram_0 clk int 1 false true true true long 0x01000000 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 instruction_master sdram_0 s1 int 1 false true true true long 0x01000000 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 data_master sdram_0 s1 java.lang.String UNKNOWN false true true true boolean false false true true true clk_0 clk tri_state_bridge_0 clk int 1 false true true true long 0x0000 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 instruction_master tri_state_bridge_0 avalon_slave int 1 false true true true long 0x0000 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 data_master tri_state_bridge_0 avalon_slave java.lang.String UNKNOWN false true true true boolean false false true true true clk_0 clk cfi_flash_0 clk int 1 false true true true long 0x02400000 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true tri_state_bridge_0 tristate_master cfi_flash_0 s1 java.lang.String UNKNOWN false true true true boolean false false true true true clk_0 clk epcs_flash_controller_0 clk int 1 false true true true long 0x02801800 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 instruction_master epcs_flash_controller_0 epcs_control_port int 1 false true true true long 0x02801800 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 data_master epcs_flash_controller_0 epcs_control_port int 1 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 d_irq epcs_flash_controller_0 irq java.lang.String UNKNOWN false true true true boolean false false true true true clk_0 clk timer_0 clk int 1 false true true true long 0x02802000 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 data_master timer_0 s1 int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 d_irq timer_0 irq java.lang.String UNKNOWN false true true true boolean false false true true true clk_0 clk uart_0 clk int 1 false true true true long 0x02802020 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 data_master uart_0 s1 int 2 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 d_irq uart_0 irq java.lang.String UNKNOWN false true true true boolean false false true true true clk_0 clk jtag_uart_0 clk int 1 false true true true long 0x02802040 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 data_master jtag_uart_0 avalon_jtag_slave int 3 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 d_irq jtag_uart_0 irq java.lang.String UNKNOWN false true true true boolean false false true true true clk_0 clk onchip_memory2_0 clk1 java.lang.String UNKNOWN false true true true boolean false false true true true clk_0 clk onchip_memory2_0 clk2 int 1 false true true true long 0x1000 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 tightly_coupled_instruction_master_0 onchip_memory2_0 s1 int 1 false true true true long 0x0000 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true cpu_0 tightly_coupled_data_master_0 onchip_memory2_0 s2 1 nios_custom_instruction_master com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Custom Instruction Master 9.1 1 altera_nios2 com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Nios II Processor 9.1 4 interrupt com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Interrupt Connection 9.1 1 avalon_tristate_slave com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Avalon Memory Mapped Tristate Slave 9.1 1 altera_avalon_tri_state_bridge com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Avalon-MM Tristate Bridge 9.1 1 altera_avalon_cfi_flash com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Flash Memory Interface (CFI) 9.1 1 clock_source com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Clock Source 9.1 9 avalon_slave com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Avalon Memory Mapped Slave 9.1 1 altera_avalon_epcs_flash_controller com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule EPCS Serial Flash Controller 9.1 4 avalon_master com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Avalon Memory Mapped Master 9.1 10 clock com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Clock Connection 9.1 1 altera_avalon_uart com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule UART (RS-232 Serial Port) 9.1 1 altera_avalon_jtag_uart com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule JTAG UART 9.1 1 altera_avalon_timer com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Interval Timer 9.1 11 clock_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Clock Input 9.1 1 avalon_tristate_master com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Avalon Memory Mapped Tristate Master 9.1 1 avalon_tristate com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Avalon Memory Mapped Tristate Connection 9.1 4 interrupt_sender com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Interrupt Sender 9.1 1 altera_avalon_onchip_memory2 com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule On-Chip Memory (RAM or ROM) 9.1 1 interrupt_receiver com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Interrupt Receiver 9.1 3 conduit com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Conduit Endpoint 7.1 1 clock_source com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Clock Output 9.1 13 avalon com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Avalon Memory Mapped Connection 6.1 1 altera_avalon_new_sdram_controller com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule SDRAM Controller 9.1 9.1 222 001B213B645F000001279B0ACCA1