summaryrefslogtreecommitdiff
path: root/include/dt-bindings/clock/imx27-clock.h
blob: 148b053e54ec7c90a6b6d321a2805d417f18010d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
/*
 * Copyright (C) 2014 Alexander Shiyan <shc_work@mail.ru>
 *
 * This program is free software; you can redistribute it and/or modify
 * it under the terms of the GNU General Public License version 2 as
 * published by the Free Software Foundation.
 *
 */

#ifndef __DT_BINDINGS_CLOCK_IMX27_H
#define __DT_BINDINGS_CLOCK_IMX27_H

#define IMX27_CLK_DUMMY			0
#define IMX27_CLK_CKIH			1
#define IMX27_CLK_CKIL			2
#define IMX27_CLK_MPLL			3
#define IMX27_CLK_SPLL			4
#define IMX27_CLK_MPLL_MAIN2		5
#define IMX27_CLK_AHB			6
#define IMX27_CLK_IPG			7
#define IMX27_CLK_NFC_DIV		8
#define IMX27_CLK_PER1_DIV		9
#define IMX27_CLK_PER2_DIV		10
#define IMX27_CLK_PER3_DIV		11
#define IMX27_CLK_PER4_DIV		12
#define IMX27_CLK_VPU_SEL		13
#define IMX27_CLK_VPU_DIV		14
#define IMX27_CLK_USB_DIV		15
#define IMX27_CLK_CPU_SEL		16
#define IMX27_CLK_CLKO_SEL		17
#define IMX27_CLK_CPU_DIV		18
#define IMX27_CLK_CLKO_DIV		19
#define IMX27_CLK_SSI1_SEL		20
#define IMX27_CLK_SSI2_SEL		21
#define IMX27_CLK_SSI1_DIV		22
#define IMX27_CLK_SSI2_DIV		23
#define IMX27_CLK_CLKO_EN		24
#define IMX27_CLK_SSI2_IPG_GATE		25
#define IMX27_CLK_SSI1_IPG_GATE		26
#define IMX27_CLK_SLCDC_IPG_GATE	27
#define IMX27_CLK_SDHC3_IPG_GATE	28
#define IMX27_CLK_SDHC2_IPG_GATE	29
#define IMX27_CLK_SDHC1_IPG_GATE	30
#define IMX27_CLK_SCC_IPG_GATE		31
#define IMX27_CLK_SAHARA_IPG_GATE	32
#define IMX27_CLK_RTC_IPG_GATE		33
#define IMX27_CLK_PWM_IPG_GATE		34
#define IMX27_CLK_OWIRE_IPG_GATE	35
#define IMX27_CLK_LCDC_IPG_GATE		36
#define IMX27_CLK_KPP_IPG_GATE		37
#define IMX27_CLK_IIM_IPG_GATE		38
#define IMX27_CLK_I2C2_IPG_GATE		39
#define IMX27_CLK_I2C1_IPG_GATE		40
#define IMX27_CLK_GPT6_IPG_GATE		41
#define IMX27_CLK_GPT5_IPG_GATE		42
#define IMX27_CLK_GPT4_IPG_GATE		43
#define IMX27_CLK_GPT3_IPG_GATE		44
#define IMX27_CLK_GPT2_IPG_GATE		45
#define IMX27_CLK_GPT1_IPG_GATE		46
#define IMX27_CLK_GPIO_IPG_GATE		47
#define IMX27_CLK_FEC_IPG_GATE		48
#define IMX27_CLK_EMMA_IPG_GATE		49
#define IMX27_CLK_DMA_IPG_GATE		50
#define IMX27_CLK_CSPI3_IPG_GATE	51
#define IMX27_CLK_CSPI2_IPG_GATE	52
#define IMX27_CLK_CSPI1_IPG_GATE	53
#define IMX27_CLK_NFC_BAUD_GATE		54
#define IMX27_CLK_SSI2_BAUD_GATE	55
#define IMX27_CLK_SSI1_BAUD_GATE	56
#define IMX27_CLK_VPU_BAUD_GATE		57
#define IMX27_CLK_PER4_GATE		58
#define IMX27_CLK_PER3_GATE		59
#define IMX27_CLK_PER2_GATE		60
#define IMX27_CLK_PER1_GATE		61
#define IMX27_CLK_USB_AHB_GATE		62
#define IMX27_CLK_SLCDC_AHB_GATE	63
#define IMX27_CLK_SAHARA_AHB_GATE	64
#define IMX27_CLK_LCDC_AHB_GATE		65
#define IMX27_CLK_VPU_AHB_GATE		66
#define IMX27_CLK_FEC_AHB_GATE		67
#define IMX27_CLK_EMMA_AHB_GATE		68
#define IMX27_CLK_EMI_AHB_GATE		69
#define IMX27_CLK_DMA_AHB_GATE		70
#define IMX27_CLK_CSI_AHB_GATE		71
#define IMX27_CLK_BROM_AHB_GATE		72
#define IMX27_CLK_ATA_AHB_GATE		73
#define IMX27_CLK_WDOG_IPG_GATE		74
#define IMX27_CLK_USB_IPG_GATE		75
#define IMX27_CLK_UART6_IPG_GATE	76
#define IMX27_CLK_UART5_IPG_GATE	77
#define IMX27_CLK_UART4_IPG_GATE	78
#define IMX27_CLK_UART3_IPG_GATE	79
#define IMX27_CLK_UART2_IPG_GATE	80
#define IMX27_CLK_UART1_IPG_GATE	81
#define IMX27_CLK_CKIH_DIV1P5		82
#define IMX27_CLK_FPM			83
#define IMX27_CLK_MPLL_OSC_SEL		84
#define IMX27_CLK_MPLL_SEL		85
#define IMX27_CLK_SPLL_GATE		86
#define IMX27_CLK_MSHC_DIV		87
#define IMX27_CLK_RTIC_IPG_GATE		88
#define IMX27_CLK_MSHC_IPG_GATE		89
#define IMX27_CLK_RTIC_AHB_GATE		90
#define IMX27_CLK_MSHC_BAUD_GATE	91
#define IMX27_CLK_CKIH_GATE		92
#define IMX27_CLK_MAX			93

#endif
nux/net-next.git/tree/include/dt-bindings/clock/berlin2q.h?h=nds-private-remove&id=a5b60de6972decc6b50a39abb376077c3c3621c8'>berlin2q.h695logplain -rw-r--r--clps711x-clock.h718logplain -rw-r--r--efm32-cmu.h1112logplain -rw-r--r--exynos-audss-clk.h597logplain -rw-r--r--exynos3250.h9083logplain -rw-r--r--exynos4.h8284logplain -rw-r--r--exynos4415.h9828logplain -rw-r--r--exynos5250.h4616logplain -rw-r--r--exynos5260-clk.h14876logplain -rw-r--r--exynos5410.h1689logplain -rw-r--r--exynos5420.h6857logplain -rw-r--r--exynos5433.h45372logplain -rw-r--r--exynos5440.h1141logplain -rw-r--r--exynos7-clk.h5281logplain -rw-r--r--gxbb-aoclkc.h2866logplain -rw-r--r--gxbb-clkc.h592logplain -rw-r--r--hi3516cv300-clock.h1668logplain -rw-r--r--hi3519-clock.h1328logplain -rw-r--r--hi3620-clock.h4496logplain -rw-r--r--hi6220-clock.h4508logplain -rw-r--r--hip04-clock.h1137logplain -rw-r--r--histb-clock.h2012logplain -rw-r--r--hix5hd2-clock.h2415logplain -rw-r--r--imx1-clock.h1055logplain -rw-r--r--imx21-clock.h2461logplain -rw-r--r--imx27-clock.h3494logplain -rw-r--r--imx5-clock.h7212logplain -rw-r--r--imx6qdl-clock.h9593logplain -rw-r--r--imx6sl-clock.h5849logplain -rw-r--r--imx6sx-clock.h9099logplain -rw-r--r--imx6ul-clock.h8203logplain -rw-r--r--imx7d-clock.h15974logplain -rw-r--r--jz4740-cgu.h1028logplain -rw-r--r--jz4780-cgu.h2470logplain -rw-r--r--lpc18xx-ccu.h2134logplain -rw-r--r--lpc18xx-cgu.h1142logplain -rw-r--r--lpc32xx-clock.h1633logplain -rw-r--r--lsi,axm5516-clks.h974logplain -rw-r--r--marvell,mmp2.h2022logplain -rw-r--r--marvell,pxa168.h1654logplain -rw-r--r--marvell,pxa1928.h1535logplain -rw-r--r--marvell,pxa910.h1598logplain -rw-r--r--maxim,max77620.h632logplain -rw-r--r--maxim,max77686.h648logplain -rw-r--r--maxim,max77802.h630logplain -rw-r--r--meson8b-clkc.h523logplain -rw-r--r--microchip,pic32-clock.h1150logplain -rw-r--r--mpc512x-clock.h2236logplain -rw-r--r--mt2701-clk.h13832logplain -rw-r--r--mt8135-clk.h5641logplain -rw-r--r--mt8173-clk.h9293logplain -rw-r--r--oxsemi,ox810se.h1002logplain -rw-r--r--oxsemi,ox820.h1203logplain -rw-r--r--pistachio-clk.h4863logplain -rw-r--r--pxa-clock.h1715logplain -rw-r--r--qcom,gcc-apq8084.h12872logplain -rw-r--r--qcom,gcc-ipq4019.h5423logplain -rw-r--r--qcom,gcc-ipq806x.h8574logplain -rw-r--r--qcom,gcc-mdm9615.h9497logplain -rw-r--r--qcom,gcc-msm8660.h7932logplain -rw-r--r--qcom,gcc-msm8916.h6190logplain -rw-r--r--qcom,gcc-msm8960.h9342logplain -rw-r--r--qcom,gcc-msm8974.h12340logplain -rw-r--r--qcom,gcc-msm8994.h4858logplain -rw-r--r--qcom,gcc-msm8996.h12575logplain -rw-r--r--qcom,lcc-ipq806x.h899logplain -rw-r--r--qcom,lcc-mdm9615.h1701logplain -rw-r--r--qcom,lcc-msm8960.h1616logplain -rw-r--r--qcom,mmcc-apq8084.h5722logplain -rw-r--r--qcom,mmcc-msm8960.h4109logplain -rw-r--r--qcom,mmcc-msm8974.h5223logplain -rw-r--r--qcom,mmcc-msm8996.h9403logplain -rw-r--r--qcom,rpmcc.h2101logplain -rw-r--r--r7s72100-clock.h1218logplain -rw-r--r--r8a73a4-clock.h1596logplain -rw-r--r--r8a7740-clock.h1992logplain -rw-r--r--r8a7743-cpg-mssr.h1269logplain -rw-r--r--r8a7745-cpg-mssr.h1298logplain -rw-r--r--r8a7778-clock.h1855logplain -rw-r--r--r8a7779-clock.h1647logplain -rw-r--r--r8a7790-clock.h4367logplain -rw-r--r--r8a7791-clock.h4388logplain -rw-r--r--r8a7792-clock.h2562logplain -rw-r--r--r8a7793-clock.h4561logplain -rw-r--r--r8a7794-clock.h3679logplain -rw-r--r--r8a7795-cpg-mssr.h1890logplain -rw-r--r--r8a7796-cpg-mssr.h2066logplain -rw-r--r--renesas-cpg-mssr.h542logplain -rw-r--r--rk1108-cru.h6605logplain -rw-r--r--rk3036-cru.h4584logplain -rw-r--r--rk3066a-cru.h1068logplain -rw-r--r--rk3188-cru-common.h6105logplain -rw-r--r--rk3188-cru.h1435logplain