summaryrefslogtreecommitdiff
path: root/quartus/dionysos_nios2mmu.sopcinfo
diff options
context:
space:
mode:
authorTobias Klauser <tklauser@distanz.ch>2010-08-02 13:21:42 +0200
committerTobias Klauser <tklauser@distanz.ch>2010-08-02 13:21:42 +0200
commit892d6e65fc7e09834b6f5d414773242052a5e869 (patch)
treef9ed8396be1499ebb5be27aaa234abad3b573d4d /quartus/dionysos_nios2mmu.sopcinfo
parent643d40c1c4d154e0ac922402663349521c372e3a (diff)
Working version with TSE MAC
Diffstat (limited to 'quartus/dionysos_nios2mmu.sopcinfo')
-rw-r--r--quartus/dionysos_nios2mmu.sopcinfo287
1 files changed, 169 insertions, 118 deletions
diff --git a/quartus/dionysos_nios2mmu.sopcinfo b/quartus/dionysos_nios2mmu.sopcinfo
index eddb46e..5464541 100644
--- a/quartus/dionysos_nios2mmu.sopcinfo
+++ b/quartus/dionysos_nios2mmu.sopcinfo
@@ -4,7 +4,7 @@
kind="com_altera_sopcmodel_ensemble_Ensemble"
version="9.1">
<!-- Format version 9.1sp2 350 (Future versions may contain additional information.) -->
- <!-- 2010.06.17.11:04:27 -->
+ <!-- 2010.08.02.11:48:14 -->
<!-- A collection of modules and connections -->
<parameter name="deviceFamily">
<type>com.altera.entityinterfaces.moduleext.IDeviceFamily$EDeviceFamily</type>
@@ -48,7 +48,7 @@
</parameter>
<parameter name="systemHash">
<type>long</type>
- <value>-64275773308</value>
+ <value>-62283505486</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -56,7 +56,7 @@
</parameter>
<parameter name="timeStamp">
<type>long</type>
- <value>1276765439648</value>
+ <value>1280742475274</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -2198,7 +2198,7 @@ parameters are a RESULT of the module parameters. -->
<moduleName>timer_0</moduleName>
<slaveName>s1</slaveName>
<name>timer_0.s1</name>
- <baseAddress>41952256</baseAddress>
+ <baseAddress>41953408</baseAddress>
<span>32</span>
</memoryBlock>
<memoryBlock>
@@ -2206,7 +2206,7 @@ parameters are a RESULT of the module parameters. -->
<moduleName>uart_0</moduleName>
<slaveName>s1</slaveName>
<name>uart_0.s1</name>
- <baseAddress>41952288</baseAddress>
+ <baseAddress>41953440</baseAddress>
<span>32</span>
</memoryBlock>
<memoryBlock>
@@ -2214,7 +2214,7 @@ parameters are a RESULT of the module parameters. -->
<moduleName>jtag_uart_0</moduleName>
<slaveName>avalon_jtag_slave</slaveName>
<name>jtag_uart_0.avalon_jtag_slave</name>
- <baseAddress>41952320</baseAddress>
+ <baseAddress>41953472</baseAddress>
<span>8</span>
</memoryBlock>
<memoryBlock>
@@ -2222,7 +2222,7 @@ parameters are a RESULT of the module parameters. -->
<moduleName>triple_speed_ethernet_0</moduleName>
<slaveName>control_port</slaveName>
<name>triple_speed_ethernet_0.control_port</name>
- <baseAddress>0</baseAddress>
+ <baseAddress>41952256</baseAddress>
<span>1024</span>
</memoryBlock>
<memoryBlock>
@@ -2230,7 +2230,7 @@ parameters are a RESULT of the module parameters. -->
<moduleName>sgdma_rx</moduleName>
<slaveName>csr</slaveName>
<name>sgdma_rx.csr</name>
- <baseAddress>1024</baseAddress>
+ <baseAddress>41953280</baseAddress>
<span>64</span>
</memoryBlock>
<memoryBlock>
@@ -2238,9 +2238,17 @@ parameters are a RESULT of the module parameters. -->
<moduleName>sgdma_tx</moduleName>
<slaveName>csr</slaveName>
<name>sgdma_tx.csr</name>
- <baseAddress>1088</baseAddress>
+ <baseAddress>41953344</baseAddress>
<span>64</span>
</memoryBlock>
+ <memoryBlock>
+ <isBridge>false</isBridge>
+ <moduleName>descriptor_memory</moduleName>
+ <slaveName>s1</slaveName>
+ <name>descriptor_memory.s1</name>
+ <baseAddress>0</baseAddress>
+ <span>8192</span>
+ </memoryBlock>
</interface>
<interface name="d_irq" kind="interrupt_receiver" version="9.1">
<!-- The connection points exposed by a module instance for the
@@ -11215,7 +11223,7 @@ parameters are a RESULT of the module parameters. -->
<moduleName>descriptor_memory</moduleName>
<slaveName>s1</slaveName>
<name>descriptor_memory.s1</name>
- <baseAddress>8192</baseAddress>
+ <baseAddress>0</baseAddress>
<span>8192</span>
</memoryBlock>
</interface>
@@ -11502,7 +11510,7 @@ parameters are a RESULT of the module parameters. -->
<moduleName>descriptor_memory</moduleName>
<slaveName>s1</slaveName>
<name>descriptor_memory.s1</name>
- <baseAddress>8192</baseAddress>
+ <baseAddress>0</baseAddress>
<span>8192</span>
</memoryBlock>
</interface>
@@ -13543,7 +13551,7 @@ parameters are a RESULT of the module parameters. -->
<moduleName>descriptor_memory</moduleName>
<slaveName>s1</slaveName>
<name>descriptor_memory.s1</name>
- <baseAddress>8192</baseAddress>
+ <baseAddress>0</baseAddress>
<span>8192</span>
</memoryBlock>
</interface>
@@ -13830,7 +13838,7 @@ parameters are a RESULT of the module parameters. -->
<moduleName>descriptor_memory</moduleName>
<slaveName>s1</slaveName>
<name>descriptor_memory.s1</name>
- <baseAddress>8192</baseAddress>
+ <baseAddress>0</baseAddress>
<span>8192</span>
</memoryBlock>
</interface>
@@ -14910,7 +14918,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x02802400</value>
+ <value>0x02802880</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -15015,7 +15023,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x02802420</value>
+ <value>0x028028a0</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -15120,7 +15128,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x02802440</value>
+ <value>0x028028c0</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -15392,7 +15400,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x0000</value>
+ <value>0x02802400</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -15489,7 +15497,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x0400</value>
+ <value>0x02802800</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -15621,7 +15629,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x0440</value>
+ <value>0x02802840</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -15699,7 +15707,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x2000</value>
+ <value>0x0000</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -15742,7 +15750,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x2000</value>
+ <value>0x0000</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -15925,7 +15933,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x2000</value>
+ <value>0x0000</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -15968,7 +15976,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x2000</value>
+ <value>0x0000</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -15995,84 +16003,135 @@ parameters are a RESULT of the module parameters. -->
<endModule>descriptor_memory</endModule>
<endConnectionPoint>s1</endConnectionPoint>
</connection>
+ <connection
+ name="cpu_0.data_master/descriptor_memory.s1"
+ kind="avalon"
+ version="6.1"
+ start="cpu_0.data_master"
+ end="descriptor_memory.s1">
+ <parameter name="arbitrationPriority">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="baseAddress">
+ <type>long</type>
+ <value>0x0000</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>cpu_0</startModule>
+ <startConnectionPoint>data_master</startConnectionPoint>
+ <endModule>descriptor_memory</endModule>
+ <endConnectionPoint>s1</endConnectionPoint>
+ </connection>
<plugin>
- <instanceCount>10</instanceCount>
- <name>avalon_master</name>
+ <instanceCount>1</instanceCount>
+ <name>interrupt_receiver</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Memory Mapped Master</displayName>
+ <displayName>Interrupt Receiver</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>1</instanceCount>
- <name>altera_avalon_cfi_flash</name>
+ <instanceCount>6</instanceCount>
+ <name>interrupt</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Flash Memory Interface (CFI)</displayName>
+ <subtype>com.altera.entityinterfaces.IConnection</subtype>
+ <displayName>Interrupt Connection</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_uart</name>
+ <name>altera_nios2</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>UART (RS-232 Serial Port)</displayName>
+ <displayName>Nios II Processor</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>1</instanceCount>
- <name>avalon_tristate_master</name>
+ <instanceCount>10</instanceCount>
+ <name>avalon_master</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Memory Mapped Tristate Master</displayName>
+ <displayName>Avalon Memory Mapped Master</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>2</instanceCount>
+ <name>avalon_streaming_sink</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Avalon Streaming Sink</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>triple_speed_ethernet</name>
+ <name>altera_avalon_jtag_uart</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Triple-Speed Ethernet</displayName>
+ <displayName>JTAG UART</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_nios2</name>
+ <name>altera_avalon_timer</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Nios II Processor</displayName>
+ <displayName>Interval Timer</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_new_sdram_controller</name>
+ <name>triple_speed_ethernet</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>SDRAM Controller</displayName>
+ <displayName>Triple-Speed Ethernet</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>6</instanceCount>
- <name>interrupt</name>
+ <instanceCount>1</instanceCount>
+ <name>avalon_tristate</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IConnection</subtype>
- <displayName>Interrupt Connection</displayName>
+ <displayName>Avalon Memory Mapped Tristate Connection</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_timer</name>
+ <name>nios_custom_instruction_master</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Interval Timer</displayName>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Custom Instruction Master</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>13</instanceCount>
- <name>avalon_slave</name>
+ <instanceCount>1</instanceCount>
+ <name>altera_avalon_uart</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Memory Mapped Slave</displayName>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>UART (RS-232 Serial Port)</displayName>
<version>9.1</version>
</plugin>
<plugin>
@@ -16084,139 +16143,123 @@ parameters are a RESULT of the module parameters. -->
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>16</instanceCount>
- <name>clock</name>
- <type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IConnection</subtype>
- <displayName>Clock Connection</displayName>
- <version>9.1</version>
- </plugin>
- <plugin>
- <instanceCount>1</instanceCount>
- <name>interrupt_receiver</name>
+ <instanceCount>4</instanceCount>
+ <name>conduit</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Interrupt Receiver</displayName>
- <version>9.1</version>
- </plugin>
- <plugin>
- <instanceCount>22</instanceCount>
- <name>avalon</name>
- <type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IConnection</subtype>
- <displayName>Avalon Memory Mapped Connection</displayName>
- <version>6.1</version>
+ <displayName>Conduit Endpoint</displayName>
+ <version>7.1</version>
</plugin>
<plugin>
<instanceCount>2</instanceCount>
- <name>altera_avalon_sgdma</name>
+ <name>avalon_streaming_source</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Scatter-Gather DMA Controller</displayName>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Avalon Streaming Source</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_jtag_uart</name>
+ <name>clock_source</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>JTAG UART</displayName>
+ <displayName>Clock Source</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>1</instanceCount>
- <name>altera_avalon_tri_state_bridge</name>
+ <instanceCount>6</instanceCount>
+ <name>interrupt_sender</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Avalon-MM Tristate Bridge</displayName>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Interrupt Sender</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>nios_custom_instruction_master</name>
+ <name>avalon_tristate_master</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Custom Instruction Master</displayName>
+ <displayName>Avalon Memory Mapped Tristate Master</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>clock_source</name>
+ <name>avalon_tristate_slave</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Clock Source</displayName>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Avalon Memory Mapped Tristate Slave</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>6</instanceCount>
- <name>interrupt_sender</name>
+ <instanceCount>1</instanceCount>
+ <name>altera_avalon_epcs_flash_controller</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Interrupt Sender</displayName>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>EPCS Serial Flash Controller</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>17</instanceCount>
- <name>clock_sink</name>
+ <instanceCount>1</instanceCount>
+ <name>altera_avalon_tri_state_bridge</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Clock Input</displayName>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>Avalon-MM Tristate Bridge</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>2</instanceCount>
- <name>altera_avalon_onchip_memory2</name>
+ <instanceCount>1</instanceCount>
+ <name>altera_avalon_cfi_flash</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>On-Chip Memory (RAM or ROM)</displayName>
+ <displayName>Flash Memory Interface (CFI)</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>2</instanceCount>
- <name>avalon_streaming_sink</name>
+ <instanceCount>16</instanceCount>
+ <name>clock</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Streaming Sink</displayName>
+ <subtype>com.altera.entityinterfaces.IConnection</subtype>
+ <displayName>Clock Connection</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>1</instanceCount>
- <name>avalon_tristate</name>
+ <instanceCount>23</instanceCount>
+ <name>avalon</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IConnection</subtype>
- <displayName>Avalon Memory Mapped Tristate Connection</displayName>
- <version>9.1</version>
+ <displayName>Avalon Memory Mapped Connection</displayName>
+ <version>6.1</version>
</plugin>
<plugin>
- <instanceCount>4</instanceCount>
- <name>conduit</name>
+ <instanceCount>13</instanceCount>
+ <name>avalon_slave</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Conduit Endpoint</displayName>
- <version>7.1</version>
+ <displayName>Avalon Memory Mapped Slave</displayName>
+ <version>9.1</version>
</plugin>
<plugin>
- <instanceCount>1</instanceCount>
- <name>altera_avalon_epcs_flash_controller</name>
+ <instanceCount>2</instanceCount>
+ <name>altera_avalon_onchip_memory2</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>EPCS Serial Flash Controller</displayName>
+ <displayName>On-Chip Memory (RAM or ROM)</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>2</instanceCount>
- <name>avalon_streaming_source</name>
+ <name>altera_avalon_sgdma</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Streaming Source</displayName>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>Scatter-Gather DMA Controller</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>avalon_tristate_slave</name>
+ <name>altera_avalon_new_sdram_controller</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Memory Mapped Tristate Slave</displayName>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>SDRAM Controller</displayName>
<version>9.1</version>
</plugin>
<plugin>
@@ -16227,6 +16270,14 @@ parameters are a RESULT of the module parameters. -->
<displayName>Clock Output</displayName>
<version>9.1</version>
</plugin>
+ <plugin>
+ <instanceCount>17</instanceCount>
+ <name>clock_sink</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Clock Input</displayName>
+ <version>9.1</version>
+ </plugin>
<reportVersion>9.1sp2 350</reportVersion>
- <uniqueIdentifier>0023AE674DE000000129451D90C8</uniqueIdentifier>
+ <uniqueIdentifier>0023AE674DE00000012A3232FDE1</uniqueIdentifier>
</EnsembleReport>