summaryrefslogtreecommitdiff
path: root/quartus/dionysos_nios2mmu.sopc
diff options
context:
space:
mode:
Diffstat (limited to 'quartus/dionysos_nios2mmu.sopc')
-rw-r--r--quartus/dionysos_nios2mmu.sopc78
1 files changed, 43 insertions, 35 deletions
diff --git a/quartus/dionysos_nios2mmu.sopc b/quartus/dionysos_nios2mmu.sopc
index 802aed8..1fc4c41 100644
--- a/quartus/dionysos_nios2mmu.sopc
+++ b/quartus/dionysos_nios2mmu.sopc
@@ -6,7 +6,7 @@
{
datum baseAddress
{
- value = "41952320";
+ value = "41953472";
type = "long";
}
}
@@ -35,7 +35,7 @@
{
datum baseAddress
{
- value = "0";
+ value = "41952256";
type = "long";
}
}
@@ -52,19 +52,19 @@
type = "String";
}
}
- element sgdma_rx.csr
+ element sgdma_tx.csr
{
datum baseAddress
{
- value = "1024";
+ value = "41953344";
type = "long";
}
}
- element sgdma_tx.csr
+ element sgdma_rx.csr
{
datum baseAddress
{
- value = "1088";
+ value = "41953280";
type = "long";
}
}
@@ -139,40 +139,35 @@
type = "String";
}
}
- element sdram_0.s1
+ element cfi_flash_0.s1
{
- datum _lockedAddress
- {
- value = "0";
- type = "boolean";
- }
datum baseAddress
{
- value = "16777216";
+ value = "37748736";
type = "long";
}
}
- element cfi_flash_0.s1
+ element uart_0.s1
{
datum baseAddress
{
- value = "37748736";
+ value = "41953440";
type = "long";
}
}
- element uart_0.s1
+ element descriptor_memory.s1
{
datum baseAddress
{
- value = "41952288";
+ value = "0";
type = "long";
}
}
- element descriptor_memory.s1
+ element onchip_memory2_0.s1
{
datum baseAddress
{
- value = "8192";
+ value = "41951232";
type = "long";
}
}
@@ -180,15 +175,20 @@
{
datum baseAddress
{
- value = "41952256";
+ value = "41953408";
type = "long";
}
}
- element onchip_memory2_0.s1
+ element sdram_0.s1
{
+ datum _lockedAddress
+ {
+ value = "0";
+ type = "boolean";
+ }
datum baseAddress
{
- value = "41951232";
+ value = "16777216";
type = "long";
}
}
@@ -209,7 +209,7 @@
}
datum megawizard_uipreferences
{
- value = "{output_language=VHDL, output_directory=/home/tklauser/projects/dionysos_nios2mmu}";
+ value = "{}";
type = "String";
}
}
@@ -298,8 +298,8 @@
<parameter name="hardcopyCompatible" value="false" />
<parameter name="hdlLanguage" value="VHDL" />
<parameter name="projectName">dionysos_nios2mmu.qpf</parameter>
- <parameter name="systemHash" value="-64275773308" />
- <parameter name="timeStamp" value="1276765439648" />
+ <parameter name="systemHash" value="-62283505486" />
+ <parameter name="timeStamp" value="1280742475274" />
<module name="clk_0" kind="clock_source" version="9.1" enabled="1">
<parameter name="clockFrequency" value="50000000" />
<parameter name="clockFrequencyKnown" value="true" />
@@ -721,7 +721,7 @@
start="cpu_0.data_master"
end="timer_0.s1">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x02802400" />
+ <parameter name="baseAddress" value="0x02802880" />
</connection>
<connection kind="interrupt" version="9.1" start="cpu_0.d_irq" end="timer_0.irq">
<parameter name="irqNumber" value="0" />
@@ -729,7 +729,7 @@
<connection kind="clock" version="9.1" start="clk_0.clk" end="uart_0.clk" />
<connection kind="avalon" version="6.1" start="cpu_0.data_master" end="uart_0.s1">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x02802420" />
+ <parameter name="baseAddress" value="0x028028a0" />
</connection>
<connection kind="interrupt" version="9.1" start="cpu_0.d_irq" end="uart_0.irq">
<parameter name="irqNumber" value="2" />
@@ -741,7 +741,7 @@
start="cpu_0.data_master"
end="jtag_uart_0.avalon_jtag_slave">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x02802440" />
+ <parameter name="baseAddress" value="0x028028c0" />
</connection>
<connection
kind="interrupt"
@@ -792,7 +792,7 @@
start="cpu_0.data_master"
end="triple_speed_ethernet_0.control_port">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x0000" />
+ <parameter name="baseAddress" value="0x02802400" />
</connection>
<connection
kind="clock"
@@ -806,7 +806,7 @@
start="cpu_0.data_master"
end="sgdma_rx.csr">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x0400" />
+ <parameter name="baseAddress" value="0x02802800" />
</connection>
<connection
kind="interrupt"
@@ -827,7 +827,7 @@
start="cpu_0.data_master"
end="sgdma_tx.csr">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x0440" />
+ <parameter name="baseAddress" value="0x02802840" />
</connection>
<connection
kind="interrupt"
@@ -842,7 +842,7 @@
start="sgdma_rx.descriptor_read"
end="descriptor_memory.s1">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x2000" />
+ <parameter name="baseAddress" value="0x0000" />
</connection>
<connection
kind="avalon"
@@ -850,7 +850,7 @@
start="sgdma_rx.descriptor_write"
end="descriptor_memory.s1">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x2000" />
+ <parameter name="baseAddress" value="0x0000" />
</connection>
<connection kind="avalon" version="6.1" start="sgdma_rx.m_write" end="sdram_0.s1">
<parameter name="arbitrationPriority" value="1" />
@@ -876,7 +876,7 @@
start="sgdma_tx.descriptor_read"
end="descriptor_memory.s1">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x2000" />
+ <parameter name="baseAddress" value="0x0000" />
</connection>
<connection
kind="avalon"
@@ -884,6 +884,14 @@
start="sgdma_tx.descriptor_write"
end="descriptor_memory.s1">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x2000" />
+ <parameter name="baseAddress" value="0x0000" />
+ </connection>
+ <connection
+ kind="avalon"
+ version="6.1"
+ start="cpu_0.data_master"
+ end="descriptor_memory.s1">
+ <parameter name="arbitrationPriority" value="1" />
+ <parameter name="baseAddress" value="0x0000" />
</connection>
</system>