summaryrefslogtreecommitdiff
path: root/quartus/dionysos_nios2mmu.sopcinfo
diff options
context:
space:
mode:
Diffstat (limited to 'quartus/dionysos_nios2mmu.sopcinfo')
-rw-r--r--quartus/dionysos_nios2mmu.sopcinfo9792
1 files changed, 9792 insertions, 0 deletions
diff --git a/quartus/dionysos_nios2mmu.sopcinfo b/quartus/dionysos_nios2mmu.sopcinfo
new file mode 100644
index 0000000..f3c2e42
--- /dev/null
+++ b/quartus/dionysos_nios2mmu.sopcinfo
@@ -0,0 +1,9792 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<EnsembleReport
+ name="dionysos_nios2mmu"
+ kind="com_altera_sopcmodel_ensemble_Ensemble"
+ version="9.1">
+ <!-- Format version 9.1 222 (Future versions may contain additional information.) -->
+ <!-- 2010.03.22.16:53:15 -->
+ <!-- A collection of modules and connections -->
+ <parameter name="deviceFamily">
+ <type>com.altera.entityinterfaces.moduleext.IDeviceFamily$EDeviceFamily</type>
+ <value>CYCLONEIII</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="hardcopyCompatible">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="hdlLanguage">
+ <type>com.altera.entityinterfaces.moduleext.IModuleGenerateHDL$HDLLanguage</type>
+ <value>VHDL</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="projectName">
+ <type>java.lang.String</type>
+ <value>dionysos-nios2mmu.qpf</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="systemHash">
+ <type>long</type>
+ <value>-19653124377</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timeStamp">
+ <type>long</type>
+ <value>1269273057814</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <module name="clk_0" kind="clock_source" version="9.1">
+ <!-- Describes a single module. Module parameters are
+the requested settings for a module instance. -->
+ <parameter name="clockFrequency">
+ <type>long</type>
+ <value>50000000</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockFrequencyKnown">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="inputClockFrequency">
+ <type>long</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <interface name="clk" kind="clock_source" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="associatedDirectClock">
+ <type>java.lang.String</type>
+ <value>clk_in</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockRate">
+ <type>long</type>
+ <value>50000000</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockRateKnown">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="externallyDriven">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="ptfSchematicName">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>clock</type>
+ <isStart>true</isStart>
+ <port>
+ <name>reset_n_out</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>reset_n</role>
+ </port>
+ <port>
+ <name>clk_out</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>clk</role>
+ </port>
+ <clockDomainMember>
+ <isBridge>false</isBridge>
+ <moduleName>cpu_0</moduleName>
+ <slaveName>clk</slaveName>
+ <name>cpu_0.clk</name>
+ </clockDomainMember>
+ <clockDomainMember>
+ <isBridge>false</isBridge>
+ <moduleName>sdram_0</moduleName>
+ <slaveName>clk</slaveName>
+ <name>sdram_0.clk</name>
+ </clockDomainMember>
+ <clockDomainMember>
+ <isBridge>false</isBridge>
+ <moduleName>tri_state_bridge_0</moduleName>
+ <slaveName>clk</slaveName>
+ <name>tri_state_bridge_0.clk</name>
+ </clockDomainMember>
+ <clockDomainMember>
+ <isBridge>false</isBridge>
+ <moduleName>cfi_flash_0</moduleName>
+ <slaveName>clk</slaveName>
+ <name>cfi_flash_0.clk</name>
+ </clockDomainMember>
+ <clockDomainMember>
+ <isBridge>false</isBridge>
+ <moduleName>epcs_flash_controller_0</moduleName>
+ <slaveName>clk</slaveName>
+ <name>epcs_flash_controller_0.clk</name>
+ </clockDomainMember>
+ <clockDomainMember>
+ <isBridge>false</isBridge>
+ <moduleName>timer_0</moduleName>
+ <slaveName>clk</slaveName>
+ <name>timer_0.clk</name>
+ </clockDomainMember>
+ <clockDomainMember>
+ <isBridge>false</isBridge>
+ <moduleName>uart_0</moduleName>
+ <slaveName>clk</slaveName>
+ <name>uart_0.clk</name>
+ </clockDomainMember>
+ <clockDomainMember>
+ <isBridge>false</isBridge>
+ <moduleName>jtag_uart_0</moduleName>
+ <slaveName>clk</slaveName>
+ <name>jtag_uart_0.clk</name>
+ </clockDomainMember>
+ <clockDomainMember>
+ <isBridge>false</isBridge>
+ <moduleName>onchip_memory2_0</moduleName>
+ <slaveName>clk1</slaveName>
+ <name>onchip_memory2_0.clk1</name>
+ </clockDomainMember>
+ <clockDomainMember>
+ <isBridge>false</isBridge>
+ <moduleName>onchip_memory2_0</moduleName>
+ <slaveName>clk2</slaveName>
+ <name>onchip_memory2_0.clk2</name>
+ </clockDomainMember>
+ </interface>
+ <interface name="clk_in" kind="clock_sink" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="clockRate">
+ <type>java.lang.Long</type>
+ <value>0</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockRateKnown">
+ <type>java.lang.Boolean</type>
+ <value>false</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="externallyDriven">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="ptfSchematicName">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>clock</type>
+ <isStart>false</isStart>
+ <port>
+ <name>in_clk</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>clk</role>
+ </port>
+ <port>
+ <name>in_reset_n</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>reset_n</role>
+ </port>
+ </interface>
+ </module>
+ <module name="cpu_0" kind="altera_nios2" version="9.1">
+ <!-- Describes a single module. Module parameters are
+the requested settings for a module instance. -->
+ <assignment>
+ <name>embeddedsw.configuration.cpuArchitecture</name>
+ <value>Nios II</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.HDLSimCachesCleared</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.CPU_IMPLEMENTATION</name>
+ <value>"fast"</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.BIG_ENDIAN</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.CPU_FREQ</name>
+ <value>50000000u</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.ICACHE_LINE_SIZE</name>
+ <value>32</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.ICACHE_LINE_SIZE_LOG2</name>
+ <value>5</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.ICACHE_SIZE</name>
+ <value>4096</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.DCACHE_LINE_SIZE</name>
+ <value>32</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.DCACHE_LINE_SIZE_LOG2</name>
+ <value>5</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.DCACHE_SIZE</name>
+ <value>2048</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.INITDA_SUPPORTED</name>
+ <value></value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.FLUSHDA_SUPPORTED</name>
+ <value></value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.HAS_JMPI_INSTRUCTION</name>
+ <value></value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.resetSlave</name>
+ <value>cfi_flash_0.s1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.resetOffset</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.exceptionSlave</name>
+ <value>sdram_0.s1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.exceptionOffset</name>
+ <value>32</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.breakSlave</name>
+ <value>cpu_0.jtag_debug_module</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.breakOffset</name>
+ <value>32</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.MMU_PRESENT</name>
+ <value></value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.KERNEL_REGION_BASE</name>
+ <value>0xc0000000</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.IO_REGION_BASE</name>
+ <value>0xe0000000</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.KERNEL_MMU_REGION_BASE</name>
+ <value>0x80000000</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.USER_REGION_BASE</name>
+ <value>0x0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.PROCESS_ID_NUM_BITS</name>
+ <value>8</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.TLB_NUM_WAYS</name>
+ <value>16</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.TLB_NUM_WAYS_LOG2</name>
+ <value>4</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.TLB_PTR_SZ</name>
+ <value>8</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.TLB_NUM_ENTRIES</name>
+ <value>256</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.FAST_TLB_MISS_EXCEPTION_ADDR</name>
+ <value>0xc0001000</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.mmu_TLBMissExcSlave</name>
+ <value>onchip_memory2_0.s1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.mmu_TLBMissExcOffset</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.EXCEPTION_ADDR</name>
+ <value>0xc1000020</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.RESET_ADDR</name>
+ <value>0xc2400000</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.BREAK_ADDR</name>
+ <value>0xc2801020</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.HAS_DEBUG_STUB</name>
+ <value></value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.HAS_DEBUG_CORE</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.HAS_ILLEGAL_INSTRUCTION_EXCEPTION</name>
+ <value></value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.HAS_ILLEGAL_MEMORY_ACCESS_EXCEPTION</name>
+ <value></value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.HAS_EXTRA_EXCEPTION_INFO</name>
+ <value></value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.CPU_ID_SIZE</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.CPU_ID_VALUE</name>
+ <value>0x0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.HARDWARE_DIVIDE_PRESENT</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.HARDWARE_MULTIPLY_PRESENT</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.HARDWARE_MULX_PRESENT</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.INST_ADDR_WIDTH</name>
+ <value>26</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.DATA_ADDR_WIDTH</name>
+ <value>26</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.NUM_OF_SHADOW_REG_SETS</name>
+ <value>0</value>
+ </assignment>
+ <parameter name="userDefinedSettings">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setting_showUnpublishedSettings">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setting_showInternalSettings">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setting_shadowRegisterSets">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setting_preciseSlaveAccessErrorException">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setting_preciseIllegalMemAccessException">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setting_preciseDivisionErrorException">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setting_performanceCounter">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setting_perfCounterWidth">
+ <type>com.altera.nios2.components.Nios2InternalSettings$OptPerfCounterWidth</type>
+ <value>_32</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setting_interruptControllerType">
+ <type>com.altera.nios2.components.Nios2InternalSettings$OptInterruptControllerType</type>
+ <value>Internal</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setting_illegalMemAccessDetection">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setting_illegalInstructionsTrap">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setting_fullWaveformSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setting_extraExceptionInfo">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setting_exportPCB">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setting_debugSimGen">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setting_clearXBitsLDNonBypass">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setting_branchPredictionType">
+ <type>com.altera.nios2.components.Nios2InternalSettings$OptBranchPredictionType</type>
+ <value>Automatic</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setting_bit31BypassDCache">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setting_bigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setting_bhtPtrSz">
+ <type>com.altera.nios2.components.Nios2InternalSettings$OptBhtPtrSz</type>
+ <value>_8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setting_bhtIndexPcOnly">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setting_avalonDebugPortPresent">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setting_alwaysEncrypt">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setting_allowFullAddressRange">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setting_activateTrace">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setting_activateTestEndChecker">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setting_activateMonitors">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setting_activateModelChecker">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setting_HDLSimCachesCleared">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setting_HBreakTest">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="resetSlave">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value>cfi_flash_0.s1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="resetOffset">
+ <type>long</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="muldiv_multiplierType">
+ <type>com.altera.nios2.components.Nios2MultiplierDivider$OptMultiplier</type>
+ <value>EmbeddedMulFast</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="muldiv_divider">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="mpu_useLimit">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="mpu_numOfInstRegion">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="mpu_numOfDataRegion">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="mpu_minInstRegionSize">
+ <type>com.altera.nios2.components.Nios2MPU$OptRegionSize</type>
+ <value>_12</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="mpu_minDataRegionSize">
+ <type>com.altera.nios2.components.Nios2MPU$OptRegionSize</type>
+ <value>_12</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="mpu_enabled">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="mmu_uitlbNumEntries">
+ <type>com.altera.nios2.components.Nios2MMU$OptTlbNumEntries</type>
+ <value>_4</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="mmu_udtlbNumEntries">
+ <type>com.altera.nios2.components.Nios2MMU$OptTlbNumEntries</type>
+ <value>_6</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="mmu_tlbPtrSz">
+ <type>com.altera.nios2.components.Nios2MMU$OptTlbPtrSz</type>
+ <value>_7</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="mmu_tlbNumWays">
+ <type>com.altera.nios2.components.Nios2MMU$OptTlbNumWays</type>
+ <value>_16</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="mmu_processIDNumBits">
+ <type>com.altera.nios2.components.Nios2MMU$OptProcessIDNumBits</type>
+ <value>_8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="mmu_enabled">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="mmu_autoAssignTlbPtrSz">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="mmu_TLBMissExcSlave">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value>onchip_memory2_0.s1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="mmu_TLBMissExcOffset">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="manuallyAssignCpuID">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="impl">
+ <type>com.altera.nios2.components.INios2Component$Impl</type>
+ <value>Fast</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="icache_size">
+ <type>com.altera.nios2.components.Nios2AbstractCache$OptBytes</type>
+ <value>_4096</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="icache_ramBlockType">
+ <type>com.altera.nios2.components.Nios2AbstractCache$OptRamBlockType</type>
+ <value>Automatic</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="icache_numTCIM">
+ <type>com.altera.nios2.components.Nios2AbstractCache$OptNumTCM</type>
+ <value>_1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="icache_burstType">
+ <type>com.altera.nios2.components.Nios2ICache$OptBurstType</type>
+ <value>None</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="exceptionSlave">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value>sdram_0.s1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="exceptionOffset">
+ <type>long</type>
+ <value>32</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="debug_triggerArming">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="debug_level">
+ <type>com.altera.nios2.components.Nios2Debug$OptLevel</type>
+ <value>Level1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="debug_jtagInstanceID">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="debug_embeddedPLL">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="debug_debugReqSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="debug_assignJtagInstanceID">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="debug_OCIOnchipTrace">
+ <type>com.altera.nios2.components.Nios2Debug$OptOCIOnchipTrace</type>
+ <value>_128</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="dcache_size">
+ <type>com.altera.nios2.components.Nios2AbstractCache$OptBytes</type>
+ <value>_2048</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="dcache_ramBlockType">
+ <type>com.altera.nios2.components.Nios2AbstractCache$OptRamBlockType</type>
+ <value>Automatic</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="dcache_omitDataMaster">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="dcache_numTCDM">
+ <type>com.altera.nios2.components.Nios2AbstractCache$OptNumTCM</type>
+ <value>_1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="dcache_lineSize">
+ <type>com.altera.nios2.components.Nios2DCache$OptLineSize</type>
+ <value>_32</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="dcache_bursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="cpuReset">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="cpuID">
+ <type>long</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockFrequency">
+ <type>long</type>
+ <value>50000000</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="breakSlave">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value>cpu_0.jtag_debug_module</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="breakOffset">
+ <type>long</type>
+ <value>32</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <interface name="clk" kind="clock_sink" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="clockRate">
+ <type>java.lang.Long</type>
+ <value>50000000</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockRateKnown">
+ <type>java.lang.Boolean</type>
+ <value>true</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="externallyDriven">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="ptfSchematicName">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>clock</type>
+ <isStart>false</isStart>
+ <port>
+ <name>clk</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>clk</role>
+ </port>
+ <port>
+ <name>reset_n</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>reset_n</role>
+ </port>
+ </interface>
+ <interface name="instruction_master" kind="avalon_master" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="adaptsTo">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressGroup">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>SYMBOLS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="alwaysBurstMaxBurst">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedClock">
+ <type>java.lang.String</type>
+ <value>clk</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bitsPerSymbol">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstOnBurstBoundariesOnly">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstcountUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="constantBurstBehavior">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="dBSBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="doStreamReads">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="doStreamWrites">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="holdTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="interleaveBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isAsynchronous">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isReadable">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isWriteable">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="linewrapBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maxAddressWidth">
+ <type>int</type>
+ <value>32</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maximumPendingReadTransactions">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitTime">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerIncomingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerOutgoingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setupTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timingUnits">
+ <type>com.altera.sopcmodel.avalon.TimingUnits</type>
+ <value>Cycles</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>avalon</type>
+ <isStart>true</isStart>
+ <port>
+ <name>i_address</name>
+ <direction>Output</direction>
+ <width>26</width>
+ <role>address</role>
+ </port>
+ <port>
+ <name>i_read</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>read</role>
+ </port>
+ <port>
+ <name>i_readdata</name>
+ <direction>Input</direction>
+ <width>32</width>
+ <role>readdata</role>
+ </port>
+ <port>
+ <name>i_readdatavalid</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>readdatavalid</role>
+ </port>
+ <port>
+ <name>i_waitrequest</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>waitrequest</role>
+ </port>
+ <memoryBlock>
+ <isBridge>false</isBridge>
+ <moduleName>cpu_0</moduleName>
+ <slaveName>jtag_debug_module</slaveName>
+ <name>cpu_0.jtag_debug_module</name>
+ <baseAddress>41947136</baseAddress>
+ <span>2048</span>
+ </memoryBlock>
+ <memoryBlock>
+ <isBridge>false</isBridge>
+ <moduleName>sdram_0</moduleName>
+ <slaveName>s1</slaveName>
+ <name>sdram_0.s1</name>
+ <baseAddress>16777216</baseAddress>
+ <span>16777216</span>
+ </memoryBlock>
+ <memoryBlock>
+ <isBridge>false</isBridge>
+ <moduleName>cfi_flash_0</moduleName>
+ <slaveName>s1</slaveName>
+ <name>cfi_flash_0.s1</name>
+ <baseAddress>37748736</baseAddress>
+ <span>4194304</span>
+ </memoryBlock>
+ <memoryBlock>
+ <isBridge>false</isBridge>
+ <moduleName>epcs_flash_controller_0</moduleName>
+ <slaveName>epcs_control_port</slaveName>
+ <name>epcs_flash_controller_0.epcs_control_port</name>
+ <baseAddress>41949184</baseAddress>
+ <span>2048</span>
+ </memoryBlock>
+ </interface>
+ <interface
+ name="tightly_coupled_instruction_master_0"
+ kind="avalon_master"
+ version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="adaptsTo">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressGroup">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>SYMBOLS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="alwaysBurstMaxBurst">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedClock">
+ <type>java.lang.String</type>
+ <value>clk</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bitsPerSymbol">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstOnBurstBoundariesOnly">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstcountUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="constantBurstBehavior">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="dBSBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="doStreamReads">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="doStreamWrites">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="holdTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="interleaveBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isAsynchronous">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isReadable">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isWriteable">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="linewrapBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maxAddressWidth">
+ <type>int</type>
+ <value>32</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maximumPendingReadTransactions">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitTime">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerIncomingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerOutgoingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setupTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timingUnits">
+ <type>com.altera.sopcmodel.avalon.TimingUnits</type>
+ <value>Cycles</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>avalon</type>
+ <isStart>true</isStart>
+ <port>
+ <name>icm0_address</name>
+ <direction>Output</direction>
+ <width>26</width>
+ <role>address</role>
+ </port>
+ <port>
+ <name>icm0_read</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>read</role>
+ </port>
+ <port>
+ <name>icm0_readdata</name>
+ <direction>Input</direction>
+ <width>32</width>
+ <role>readdata</role>
+ </port>
+ <port>
+ <name>icm0_readdatavalid</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>readdatavalid</role>
+ </port>
+ <port>
+ <name>icm0_waitrequest</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>waitrequest</role>
+ </port>
+ <port>
+ <name>icm0_clken</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>clken</role>
+ </port>
+ <memoryBlock>
+ <isBridge>false</isBridge>
+ <moduleName>onchip_memory2_0</moduleName>
+ <slaveName>s1</slaveName>
+ <name>onchip_memory2_0.s1</name>
+ <baseAddress>4096</baseAddress>
+ <span>1024</span>
+ </memoryBlock>
+ </interface>
+ <interface name="data_master" kind="avalon_master" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="adaptsTo">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressGroup">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>SYMBOLS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="alwaysBurstMaxBurst">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedClock">
+ <type>java.lang.String</type>
+ <value>clk</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bitsPerSymbol">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstOnBurstBoundariesOnly">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstcountUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="constantBurstBehavior">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="dBSBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="doStreamReads">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="doStreamWrites">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="holdTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="interleaveBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isAsynchronous">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isReadable">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isWriteable">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="linewrapBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maxAddressWidth">
+ <type>int</type>
+ <value>32</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maximumPendingReadTransactions">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitTime">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerIncomingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerOutgoingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setupTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timingUnits">
+ <type>com.altera.sopcmodel.avalon.TimingUnits</type>
+ <value>Cycles</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>avalon</type>
+ <isStart>true</isStart>
+ <port>
+ <name>d_address</name>
+ <direction>Output</direction>
+ <width>26</width>
+ <role>address</role>
+ </port>
+ <port>
+ <name>d_byteenable</name>
+ <direction>Output</direction>
+ <width>4</width>
+ <role>byteenable</role>
+ </port>
+ <port>
+ <name>d_read</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>read</role>
+ </port>
+ <port>
+ <name>d_readdata</name>
+ <direction>Input</direction>
+ <width>32</width>
+ <role>readdata</role>
+ </port>
+ <port>
+ <name>d_readdatavalid</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>readdatavalid</role>
+ </port>
+ <port>
+ <name>d_waitrequest</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>waitrequest</role>
+ </port>
+ <port>
+ <name>d_write</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>write</role>
+ </port>
+ <port>
+ <name>d_writedata</name>
+ <direction>Output</direction>
+ <width>32</width>
+ <role>writedata</role>
+ </port>
+ <port>
+ <name>jtag_debug_module_debugaccess_to_roms</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>debugaccess</role>
+ </port>
+ <memoryBlock>
+ <isBridge>false</isBridge>
+ <moduleName>cpu_0</moduleName>
+ <slaveName>jtag_debug_module</slaveName>
+ <name>cpu_0.jtag_debug_module</name>
+ <baseAddress>41947136</baseAddress>
+ <span>2048</span>
+ </memoryBlock>
+ <memoryBlock>
+ <isBridge>false</isBridge>
+ <moduleName>sdram_0</moduleName>
+ <slaveName>s1</slaveName>
+ <name>sdram_0.s1</name>
+ <baseAddress>16777216</baseAddress>
+ <span>16777216</span>
+ </memoryBlock>
+ <memoryBlock>
+ <isBridge>false</isBridge>
+ <moduleName>cfi_flash_0</moduleName>
+ <slaveName>s1</slaveName>
+ <name>cfi_flash_0.s1</name>
+ <baseAddress>37748736</baseAddress>
+ <span>4194304</span>
+ </memoryBlock>
+ <memoryBlock>
+ <isBridge>false</isBridge>
+ <moduleName>epcs_flash_controller_0</moduleName>
+ <slaveName>epcs_control_port</slaveName>
+ <name>epcs_flash_controller_0.epcs_control_port</name>
+ <baseAddress>41949184</baseAddress>
+ <span>2048</span>
+ </memoryBlock>
+ <memoryBlock>
+ <isBridge>false</isBridge>
+ <moduleName>timer_0</moduleName>
+ <slaveName>s1</slaveName>
+ <name>timer_0.s1</name>
+ <baseAddress>41951232</baseAddress>
+ <span>32</span>
+ </memoryBlock>
+ <memoryBlock>
+ <isBridge>false</isBridge>
+ <moduleName>uart_0</moduleName>
+ <slaveName>s1</slaveName>
+ <name>uart_0.s1</name>
+ <baseAddress>41951264</baseAddress>
+ <span>32</span>
+ </memoryBlock>
+ <memoryBlock>
+ <isBridge>false</isBridge>
+ <moduleName>jtag_uart_0</moduleName>
+ <slaveName>avalon_jtag_slave</slaveName>
+ <name>jtag_uart_0.avalon_jtag_slave</name>
+ <baseAddress>41951296</baseAddress>
+ <span>8</span>
+ </memoryBlock>
+ </interface>
+ <interface name="d_irq" kind="interrupt_receiver" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="associatedAddressablePoint">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value>cpu_0.data_master</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="irqScheme">
+ <type>com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme</type>
+ <value>INDIVIDUAL_REQUESTS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>interrupt</type>
+ <isStart>true</isStart>
+ <port>
+ <name>d_irq</name>
+ <direction>Input</direction>
+ <width>32</width>
+ <role>irq</role>
+ </port>
+ <interrupt>
+ <isBridge>false</isBridge>
+ <moduleName>epcs_flash_controller_0</moduleName>
+ <slaveName>irq</slaveName>
+ <name>epcs_flash_controller_0.irq</name>
+ <interruptNumber>1</interruptNumber>
+ </interrupt>
+ <interrupt>
+ <isBridge>false</isBridge>
+ <moduleName>timer_0</moduleName>
+ <slaveName>irq</slaveName>
+ <name>timer_0.irq</name>
+ <interruptNumber>0</interruptNumber>
+ </interrupt>
+ <interrupt>
+ <isBridge>false</isBridge>
+ <moduleName>uart_0</moduleName>
+ <slaveName>irq</slaveName>
+ <name>uart_0.irq</name>
+ <interruptNumber>2</interruptNumber>
+ </interrupt>
+ <interrupt>
+ <isBridge>false</isBridge>
+ <moduleName>jtag_uart_0</moduleName>
+ <slaveName>irq</slaveName>
+ <name>jtag_uart_0.irq</name>
+ <interruptNumber>3</interruptNumber>
+ </interrupt>
+ </interface>
+ <interface
+ name="tightly_coupled_data_master_0"
+ kind="avalon_master"
+ version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="adaptsTo">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressGroup">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>SYMBOLS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="alwaysBurstMaxBurst">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedClock">
+ <type>java.lang.String</type>
+ <value>clk</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bitsPerSymbol">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstOnBurstBoundariesOnly">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstcountUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="constantBurstBehavior">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="dBSBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="doStreamReads">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="doStreamWrites">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="holdTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="interleaveBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isAsynchronous">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isReadable">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isWriteable">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="linewrapBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maxAddressWidth">
+ <type>int</type>
+ <value>32</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maximumPendingReadTransactions">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitTime">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerIncomingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerOutgoingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setupTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timingUnits">
+ <type>com.altera.sopcmodel.avalon.TimingUnits</type>
+ <value>Cycles</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>avalon</type>
+ <isStart>true</isStart>
+ <port>
+ <name>dcm0_address</name>
+ <direction>Output</direction>
+ <width>26</width>
+ <role>address</role>
+ </port>
+ <port>
+ <name>dcm0_byteenable</name>
+ <direction>Output</direction>
+ <width>4</width>
+ <role>byteenable</role>
+ </port>
+ <port>
+ <name>dcm0_clken</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>clken</role>
+ </port>
+ <port>
+ <name>dcm0_read</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>read</role>
+ </port>
+ <port>
+ <name>dcm0_readdata</name>
+ <direction>Input</direction>
+ <width>32</width>
+ <role>readdata</role>
+ </port>
+ <port>
+ <name>dcm0_readdatavalid</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>readdatavalid</role>
+ </port>
+ <port>
+ <name>dcm0_waitrequest</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>waitrequest</role>
+ </port>
+ <port>
+ <name>dcm0_write</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>write</role>
+ </port>
+ <port>
+ <name>dcm0_writedata</name>
+ <direction>Output</direction>
+ <width>32</width>
+ <role>writedata</role>
+ </port>
+ <memoryBlock>
+ <isBridge>false</isBridge>
+ <moduleName>onchip_memory2_0</moduleName>
+ <slaveName>s2</slaveName>
+ <name>onchip_memory2_0.s2</name>
+ <baseAddress>0</baseAddress>
+ <span>1024</span>
+ </memoryBlock>
+ </interface>
+ <interface name="jtag_debug_module" kind="avalon_slave" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <assignment>
+ <name>embeddedsw.configuration.isMemoryDevice</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.hideDevice</name>
+ <value>1</value>
+ </assignment>
+ <parameter name="addressAlignment">
+ <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
+ <value>DYNAMIC</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressGroup">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressSpan">
+ <type>long</type>
+ <value>2048</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="alwaysBurstMaxBurst">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedClock">
+ <type>java.lang.String</type>
+ <value>clk</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bitsPerSymbol">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bridgesToMaster">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstOnBurstBoundariesOnly">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstcountUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="constantBurstBehavior">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="explicitAddressSpan">
+ <type>long</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="holdTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="interleaveBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isFlash">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isMemoryDevice">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isNonVolatileStorage">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="linewrapBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maximumPendingReadTransactions">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="minimumUninterruptedRunLength">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="printableDevice">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitStates">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitTime">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerIncomingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerOutgoingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setupTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timingUnits">
+ <type>com.altera.sopcmodel.avalon.TimingUnits</type>
+ <value>Cycles</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="transparentBridge">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="wellBehavedWaitrequest">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitStates">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>avalon</type>
+ <isStart>false</isStart>
+ <port>
+ <name>jtag_debug_module_address</name>
+ <direction>Input</direction>
+ <width>9</width>
+ <role>address</role>
+ </port>
+ <port>
+ <name>jtag_debug_module_begintransfer</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>begintransfer</role>
+ </port>
+ <port>
+ <name>jtag_debug_module_byteenable</name>
+ <direction>Input</direction>
+ <width>4</width>
+ <role>byteenable</role>
+ </port>
+ <port>
+ <name>jtag_debug_module_debugaccess</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>debugaccess</role>
+ </port>
+ <port>
+ <name>jtag_debug_module_readdata</name>
+ <direction>Output</direction>
+ <width>32</width>
+ <role>readdata</role>
+ </port>
+ <port>
+ <name>jtag_debug_module_resetrequest</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>resetrequest</role>
+ </port>
+ <port>
+ <name>jtag_debug_module_select</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>chipselect</role>
+ </port>
+ <port>
+ <name>jtag_debug_module_write</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>write</role>
+ </port>
+ <port>
+ <name>jtag_debug_module_writedata</name>
+ <direction>Input</direction>
+ <width>32</width>
+ <role>writedata</role>
+ </port>
+ </interface>
+ <interface
+ name="custom_instruction_master"
+ kind="nios_custom_instruction_master"
+ version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="CIName">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressWidth">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="enabled">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maxAddressWidth">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="opcodeExtension">
+ <type>int</type>
+ <value>0</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>nios_custom_instruction</type>
+ <isStart>true</isStart>
+ <port>
+ <name>dataa</name>
+ <direction>Output</direction>
+ <width>32</width>
+ <role>dataa</role>
+ </port>
+ <port>
+ <name>datab</name>
+ <direction>Output</direction>
+ <width>32</width>
+ <role>datab</role>
+ </port>
+ <port>
+ <name>result</name>
+ <direction>Input</direction>
+ <width>32</width>
+ <role>result</role>
+ </port>
+ <port>
+ <name>clk_en</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>clk_en</role>
+ </port>
+ <port>
+ <name>reset</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>reset</role>
+ </port>
+ <port>
+ <name>start</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>start</role>
+ </port>
+ <port>
+ <name>done</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>done</role>
+ </port>
+ <port>
+ <name>n</name>
+ <direction>Output</direction>
+ <width>8</width>
+ <role>n</role>
+ </port>
+ <port>
+ <name>a</name>
+ <direction>Output</direction>
+ <width>5</width>
+ <role>a</role>
+ </port>
+ <port>
+ <name>b</name>
+ <direction>Output</direction>
+ <width>5</width>
+ <role>b</role>
+ </port>
+ <port>
+ <name>c</name>
+ <direction>Output</direction>
+ <width>5</width>
+ <role>c</role>
+ </port>
+ <port>
+ <name>readra</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>readra</role>
+ </port>
+ <port>
+ <name>readrb</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>readrb</role>
+ </port>
+ <port>
+ <name>writerc</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>writerc</role>
+ </port>
+ </interface>
+ </module>
+ <module
+ name="sdram_0"
+ kind="altera_avalon_new_sdram_controller"
+ version="9.1">
+ <!-- Describes a single module. Module parameters are
+the requested settings for a module instance. -->
+ <assignment>
+ <name>embeddedsw.CMacro.REGISTER_DATA_IN</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.SIM_MODEL_BASE</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.SDRAM_DATA_WIDTH</name>
+ <value>16</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.SDRAM_ADDR_WIDTH</name>
+ <value>23</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.SDRAM_ROW_WIDTH</name>
+ <value>12</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.SDRAM_COL_WIDTH</name>
+ <value>9</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.SDRAM_NUM_CHIPSELECTS</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.SDRAM_NUM_BANKS</name>
+ <value>4</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.REFRESH_PERIOD</name>
+ <value>15.625</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.POWERUP_DELAY</name>
+ <value>100.0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.CAS_LATENCY</name>
+ <value>3</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.T_RFC</name>
+ <value>70.0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.T_RP</name>
+ <value>20.0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.T_MRD</name>
+ <value>3</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.T_RCD</name>
+ <value>20.0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.T_AC</name>
+ <value>5.5</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.T_WR</name>
+ <value>14.0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.INIT_REFRESH_COMMANDS</name>
+ <value>2</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.INIT_NOP_DELAY</name>
+ <value>0.0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.SHARED_DATA</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.STARVATION_INDICATOR</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.TRISTATE_BRIDGE_SLAVE</name>
+ <value>""</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.IS_INITIALIZED</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.SDRAM_BANK_WIDTH</name>
+ <value>2</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.CONTENTS_INFO</name>
+ <value>""</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH</name>
+ <value>16</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.memoryInfo.GENERATE_DAT_SYM</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.memoryInfo.DAT_SYM_INSTALL_DIR</name>
+ <value>SIM_DIR</value>
+ </assignment>
+ <parameter name="TAC">
+ <type>double</type>
+ <value>5.5</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="TMRD">
+ <type>long</type>
+ <value>3</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="TRCD">
+ <type>double</type>
+ <value>20.0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="TRFC">
+ <type>double</type>
+ <value>70.0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="TRP">
+ <type>double</type>
+ <value>20.0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="TWR">
+ <type>double</type>
+ <value>14.0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="casLatency">
+ <type>int</type>
+ <value>3</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockRate">
+ <type>long</type>
+ <value>50000000</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="columnWidth">
+ <type>int</type>
+ <value>9</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="dataWidth">
+ <type>int</type>
+ <value>16</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateSimulationModel">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="initNOPDelay">
+ <type>double</type>
+ <value>0.0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="initRefreshCommands">
+ <type>int</type>
+ <value>2</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="masteredTristateBridgeSlave">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="model">
+ <type>com.altera.sopcmodel.components.avalon.AlteraAvalonSDRAMController.ModelMangler$PresetModels</type>
+ <value>custom</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="numberOfBanks">
+ <type>int</type>
+ <value>4</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="numberOfChipSelects">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="pinsSharedViaTriState">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="powerUpDelay">
+ <type>double</type>
+ <value>100.0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="refreshPeriod">
+ <type>double</type>
+ <value>15.625</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerDataIn">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="rowWidth">
+ <type>int</type>
+ <value>12</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="size">
+ <type>long</type>
+ <value>16777216</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <interface name="clk" kind="clock_sink" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="clockRate">
+ <type>java.lang.Long</type>
+ <value>50000000</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockRateKnown">
+ <type>java.lang.Boolean</type>
+ <value>true</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="externallyDriven">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="ptfSchematicName">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>clock</type>
+ <isStart>false</isStart>
+ <port>
+ <name>clk</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>clk</role>
+ </port>
+ <port>
+ <name>reset_n</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>reset_n</role>
+ </port>
+ </interface>
+ <interface name="s1" kind="avalon_slave" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <assignment>
+ <name>embeddedsw.configuration.isMemoryDevice</name>
+ <value>1</value>
+ </assignment>
+ <parameter name="addressAlignment">
+ <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
+ <value>DYNAMIC</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressGroup">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressSpan">
+ <type>long</type>
+ <value>16777216</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="alwaysBurstMaxBurst">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedClock">
+ <type>java.lang.String</type>
+ <value>clk</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bitsPerSymbol">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bridgesToMaster">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstOnBurstBoundariesOnly">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstcountUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="constantBurstBehavior">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="explicitAddressSpan">
+ <type>long</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="holdTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="interleaveBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isFlash">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isMemoryDevice">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isNonVolatileStorage">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="linewrapBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maximumPendingReadTransactions">
+ <type>int</type>
+ <value>7</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="minimumUninterruptedRunLength">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="printableDevice">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitStates">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitTime">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerIncomingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerOutgoingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setupTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timingUnits">
+ <type>com.altera.sopcmodel.avalon.TimingUnits</type>
+ <value>Cycles</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="transparentBridge">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="wellBehavedWaitrequest">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitStates">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>avalon</type>
+ <isStart>false</isStart>
+ <port>
+ <name>az_addr</name>
+ <direction>Input</direction>
+ <width>23</width>
+ <role>address</role>
+ </port>
+ <port>
+ <name>az_be_n</name>
+ <direction>Input</direction>
+ <width>2</width>
+ <role>byteenable_n</role>
+ </port>
+ <port>
+ <name>az_cs</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>chipselect</role>
+ </port>
+ <port>
+ <name>az_data</name>
+ <direction>Input</direction>
+ <width>16</width>
+ <role>writedata</role>
+ </port>
+ <port>
+ <name>az_rd_n</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>read_n</role>
+ </port>
+ <port>
+ <name>az_wr_n</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>write_n</role>
+ </port>
+ <port>
+ <name>za_data</name>
+ <direction>Output</direction>
+ <width>16</width>
+ <role>readdata</role>
+ </port>
+ <port>
+ <name>za_valid</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>readdatavalid</role>
+ </port>
+ <port>
+ <name>za_waitrequest</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>waitrequest</role>
+ </port>
+ </interface>
+ <interface name="wire" kind="conduit" version="7.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>conduit</type>
+ <isStart>false</isStart>
+ <port>
+ <name>zs_addr</name>
+ <direction>Output</direction>
+ <width>12</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>zs_ba</name>
+ <direction>Output</direction>
+ <width>2</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>zs_cas_n</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>zs_cke</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>zs_cs_n</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>zs_dq</name>
+ <direction>Output</direction>
+ <width>16</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>zs_dqm</name>
+ <direction>Output</direction>
+ <width>2</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>zs_ras_n</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>zs_we_n</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ </interface>
+ </module>
+ <module
+ name="tri_state_bridge_0"
+ kind="altera_avalon_tri_state_bridge"
+ version="9.1">
+ <!-- Describes a single module. Module parameters are
+the requested settings for a module instance. -->
+ <parameter name="registerIncomingSignals">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <interface name="clk" kind="clock_sink" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="clockRate">
+ <type>long</type>
+ <value>0</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockRateKnown">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="externallyDriven">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="ptfSchematicName">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>clock</type>
+ <isStart>false</isStart>
+ </interface>
+ <interface name="avalon_slave" kind="avalon_slave" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <assignment>
+ <name>embeddedsw.configuration.isFlash</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.isMemoryDevice</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.isNonVolatileStorage</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.isPrintableDevice</name>
+ <value>0</value>
+ </assignment>
+ <parameter name="addressAlignment">
+ <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
+ <value>DYNAMIC</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressGroup">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressSpan">
+ <type>long</type>
+ <value>1</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="alwaysBurstMaxBurst">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedClock">
+ <type>java.lang.String</type>
+ <value>clk</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bitsPerSymbol">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bridgesToMaster">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value>tri_state_bridge_0.tristate_master</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstOnBurstBoundariesOnly">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstcountUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="constantBurstBehavior">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="explicitAddressSpan">
+ <type>long</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="holdTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="interleaveBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isFlash">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isMemoryDevice">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isNonVolatileStorage">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="linewrapBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maximumPendingReadTransactions">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="minimumUninterruptedRunLength">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="printableDevice">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitStates">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitTime">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerIncomingSignals">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerOutgoingSignals">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setupTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timingUnits">
+ <type>com.altera.sopcmodel.avalon.TimingUnits</type>
+ <value>Cycles</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="transparentBridge">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="wellBehavedWaitrequest">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitStates">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>avalon</type>
+ <isStart>false</isStart>
+ </interface>
+ <interface name="tristate_master" kind="avalon_tristate_master" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="adaptsTo">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressGroup">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="alwaysBurstMaxBurst">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstOnBurstBoundariesOnly">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="dBSBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="interleaveBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isAsynchronous">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isReadable">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isWriteable">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="linewrapBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maxAddressWidth">
+ <type>int</type>
+ <value>32</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerIncomingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerOutgoingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>avalon_tristate</type>
+ <isStart>true</isStart>
+ <memoryBlock>
+ <isBridge>false</isBridge>
+ <moduleName>cfi_flash_0</moduleName>
+ <slaveName>s1</slaveName>
+ <name>cfi_flash_0.s1</name>
+ <baseAddress>37748736</baseAddress>
+ <span>4194304</span>
+ </memoryBlock>
+ </interface>
+ </module>
+ <module name="cfi_flash_0" kind="altera_avalon_cfi_flash" version="9.1">
+ <!-- Describes a single module. Module parameters are
+the requested settings for a module instance. -->
+ <assignment>
+ <name>embeddedsw.CMacro.SETUP_VALUE</name>
+ <value>40</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.WAIT_VALUE</name>
+ <value>160</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.HOLD_VALUE</name>
+ <value>40</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.TIMING_UNITS</name>
+ <value>"ns"</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.SIZE</name>
+ <value>4194304u</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH</name>
+ <value>8</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.memoryInfo.HAS_BYTE_LANE</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.memoryInfo.IS_FLASH</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.memoryInfo.GENERATE_DAT_SYM</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.memoryInfo.GENERATE_FLASH</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.memoryInfo.DAT_SYM_INSTALL_DIR</name>
+ <value>SIM_DIR</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.memoryInfo.FLASH_INSTALL_DIR</name>
+ <value>APP_DIR</value>
+ </assignment>
+ <parameter name="actualHoldTime">
+ <type>double</type>
+ <value>40.0</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="actualSetupTime">
+ <type>double</type>
+ <value>40.0</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="actualWaitTime">
+ <type>double</type>
+ <value>160.0</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressWidth">
+ <type>int</type>
+ <value>22</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockRate">
+ <type>long</type>
+ <value>50000000</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="corePreset">
+ <type>com.altera.sopcmodel.components.avalon.AlteraAvalonCommonFlashInterface.FlashCorePresets</type>
+ <value>CUSTOM</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="dataWidth">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="holdTime">
+ <type>int</type>
+ <value>40</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setupTime">
+ <type>int</type>
+ <value>40</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="sharedPorts">
+ <type>[Ljava.lang.String;</type>
+ <value>s1/address,s1/data,s1/read_n</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timingUnits">
+ <type>com.altera.sopcmodel.components.avalon.AlteraAvalonCommonFlashInterface.FlashTimingUnits</type>
+ <value>NS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="waitTime">
+ <type>int</type>
+ <value>160</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <interface name="clk" kind="clock_sink" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="clockRate">
+ <type>java.lang.Long</type>
+ <value>50000000</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockRateKnown">
+ <type>java.lang.Boolean</type>
+ <value>true</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="externallyDriven">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="ptfSchematicName">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>clock</type>
+ <isStart>false</isStart>
+ </interface>
+ <interface name="s1" kind="avalon_tristate_slave" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <assignment>
+ <name>embeddedsw.configuration.isNonVolatileStorage</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.isFlash</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.isMemoryDevice</name>
+ <value>1</value>
+ </assignment>
+ <parameter name="activeCSThroughReadLatency">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressAlignment">
+ <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
+ <value>DYNAMIC</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressGroup">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressSpan">
+ <type>long</type>
+ <value>4194304</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="alwaysBurstMaxBurst">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bridgesToMaster">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstOnBurstBoundariesOnly">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="explicitAddressSpan">
+ <type>long</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="holdTime">
+ <type>int</type>
+ <value>40</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="interleaveBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isFlash">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isMemoryDevice">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isNonVolatileStorage">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="linewrapBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maximumPendingReadTransactions">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="minimumUninterruptedRunLength">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="printableDevice">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitStates">
+ <type>int</type>
+ <value>160</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitTime">
+ <type>int</type>
+ <value>160</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerIncomingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerOutgoingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setupTime">
+ <type>int</type>
+ <value>40</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timingUnits">
+ <type>com.altera.sopcmodel.avalon.TimingUnits</type>
+ <value>Nanoseconds</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="transparentBridge">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="wellBehavedWaitrequest">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitStates">
+ <type>int</type>
+ <value>160</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitTime">
+ <type>int</type>
+ <value>160</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>avalon_tristate</type>
+ <isStart>false</isStart>
+ <port>
+ <name>data</name>
+ <direction>Bidir</direction>
+ <width>8</width>
+ <role>data</role>
+ </port>
+ <port>
+ <name>address</name>
+ <direction>Input</direction>
+ <width>22</width>
+ <role>address</role>
+ </port>
+ <port>
+ <name>read_n</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>read_n</role>
+ </port>
+ <port>
+ <name>write_n</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>write_n</role>
+ </port>
+ <port>
+ <name>select_n</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>chipselect_n</role>
+ </port>
+ </interface>
+ </module>
+ <module
+ name="epcs_flash_controller_0"
+ kind="altera_avalon_epcs_flash_controller"
+ version="9.1">
+ <!-- Describes a single module. Module parameters are
+the requested settings for a module instance. -->
+ <assignment>
+ <name>embeddedsw.CMacro.REGISTER_OFFSET</name>
+ <value>1024</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH</name>
+ <value>32</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.memoryInfo.MEM_INIT_FILENAME</name>
+ <value>epcs_flash_controller_0_boot_rom</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.memoryInfo.IS_EPCS</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.memoryInfo.IS_FLASH</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.memoryInfo.GENERATE_HEX</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.memoryInfo.GENERATE_DAT_SYM</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.memoryInfo.GENERATE_FLASH</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.memoryInfo.HEX_INSTALL_DIR</name>
+ <value>SIM_DIR</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.memoryInfo.DAT_SYM_INSTALL_DIR</name>
+ <value>SIM_DIR</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.memoryInfo.FLASH_INSTALL_DIR</name>
+ <value>APP_DIR</value>
+ </assignment>
+ <parameter name="autoSelectASMIAtom">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamilyString">
+ <type>java.lang.String</type>
+ <value>Cyclone III</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="useASMIAtom">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <interface name="clk" kind="clock_sink" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="clockRate">
+ <type>long</type>
+ <value>0</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockRateKnown">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="externallyDriven">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="ptfSchematicName">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>clock</type>
+ <isStart>false</isStart>
+ <port>
+ <name>clk</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>clk</role>
+ </port>
+ <port>
+ <name>reset_n</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>reset_n</role>
+ </port>
+ </interface>
+ <interface name="epcs_control_port" kind="avalon_slave" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <assignment>
+ <name>embeddedsw.configuration.isNonVolatileStorage</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.isFlash</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.isMemoryDevice</name>
+ <value>1</value>
+ </assignment>
+ <parameter name="addressAlignment">
+ <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
+ <value>DYNAMIC</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressGroup">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressSpan">
+ <type>long</type>
+ <value>2048</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="alwaysBurstMaxBurst">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedClock">
+ <type>java.lang.String</type>
+ <value>clk</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bitsPerSymbol">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bridgesToMaster">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstOnBurstBoundariesOnly">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstcountUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="constantBurstBehavior">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="explicitAddressSpan">
+ <type>long</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="holdTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="interleaveBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isFlash">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isMemoryDevice">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isNonVolatileStorage">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="linewrapBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maximumPendingReadTransactions">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="minimumUninterruptedRunLength">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="printableDevice">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitStates">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitTime">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerIncomingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerOutgoingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setupTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timingUnits">
+ <type>com.altera.sopcmodel.avalon.TimingUnits</type>
+ <value>Cycles</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="transparentBridge">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="wellBehavedWaitrequest">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitStates">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitTime">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>avalon</type>
+ <isStart>false</isStart>
+ <port>
+ <name>address</name>
+ <direction>Input</direction>
+ <width>9</width>
+ <role>address</role>
+ </port>
+ <port>
+ <name>chipselect</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>chipselect</role>
+ </port>
+ <port>
+ <name>dataavailable</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>dataavailable</role>
+ </port>
+ <port>
+ <name>endofpacket</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>endofpacket</role>
+ </port>
+ <port>
+ <name>read_n</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>read_n</role>
+ </port>
+ <port>
+ <name>readdata</name>
+ <direction>Output</direction>
+ <width>32</width>
+ <role>readdata</role>
+ </port>
+ <port>
+ <name>readyfordata</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>readyfordata</role>
+ </port>
+ <port>
+ <name>write_n</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>write_n</role>
+ </port>
+ <port>
+ <name>writedata</name>
+ <direction>Input</direction>
+ <width>32</width>
+ <role>writedata</role>
+ </port>
+ </interface>
+ <interface name="irq" kind="interrupt_sender" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="associatedAddressablePoint">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value>epcs_flash_controller_0.epcs_control_port</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="irqScheme">
+ <type>com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme</type>
+ <value>NONE</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>interrupt</type>
+ <isStart>false</isStart>
+ <port>
+ <name>irq</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>irq</role>
+ </port>
+ </interface>
+ <interface name="external" kind="conduit" version="7.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>conduit</type>
+ <isStart>false</isStart>
+ <port>
+ <name>dclk</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>sce</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>sdo</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>data0</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ </interface>
+ </module>
+ <module name="timer_0" kind="altera_avalon_timer" version="9.1">
+ <!-- Describes a single module. Module parameters are
+the requested settings for a module instance. -->
+ <assignment>
+ <name>embeddedsw.CMacro.ALWAYS_RUN</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.FIXED_PERIOD</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.SNAPSHOT</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.PERIOD</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.PERIOD_UNITS</name>
+ <value>"ms"</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.RESET_OUTPUT</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.TIMEOUT_PULSE_OUTPUT</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.FREQ</name>
+ <value>50000000u</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.LOAD_VALUE</name>
+ <value>49999ULL</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.COUNTER_SIZE</name>
+ <value>32</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.MULT</name>
+ <value>0.0010</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.TICKS_PER_SEC</name>
+ <value>1000u</value>
+ </assignment>
+ <parameter name="alwaysRun">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="counterSize">
+ <type>int</type>
+ <value>32</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="fixedPeriod">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="period">
+ <type>java.lang.String</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="periodUnits">
+ <type>com.altera.sopcmodel.components.avalon.AlteraAvalonTimer.AlteraAvalonTimer$TimerPeriodUnit</type>
+ <value>MSEC</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="resetOutput">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="snapshot">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="systemFrequency">
+ <type>long</type>
+ <value>50000000</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timeoutPulseOutput">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timerPreset">
+ <type>com.altera.sopcmodel.components.avalon.AlteraAvalonTimer.TimerPresets</type>
+ <value>CUSTOM</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <interface name="clk" kind="clock_sink" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="clockRate">
+ <type>java.lang.Long</type>
+ <value>50000000</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockRateKnown">
+ <type>java.lang.Boolean</type>
+ <value>true</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="externallyDriven">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="ptfSchematicName">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>clock</type>
+ <isStart>false</isStart>
+ <port>
+ <name>clk</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>clk</role>
+ </port>
+ <port>
+ <name>reset_n</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>reset_n</role>
+ </port>
+ </interface>
+ <interface name="s1" kind="avalon_slave" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <assignment>
+ <name>embeddedsw.configuration.isTimerDevice</name>
+ <value>1</value>
+ </assignment>
+ <parameter name="addressAlignment">
+ <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
+ <value>NATIVE</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressGroup">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressSpan">
+ <type>long</type>
+ <value>8</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="alwaysBurstMaxBurst">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedClock">
+ <type>java.lang.String</type>
+ <value>clk</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bitsPerSymbol">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bridgesToMaster">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstOnBurstBoundariesOnly">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstcountUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="constantBurstBehavior">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="explicitAddressSpan">
+ <type>long</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="holdTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="interleaveBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isFlash">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isMemoryDevice">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isNonVolatileStorage">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="linewrapBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maximumPendingReadTransactions">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="minimumUninterruptedRunLength">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="printableDevice">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitStates">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitTime">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerIncomingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerOutgoingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setupTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timingUnits">
+ <type>com.altera.sopcmodel.avalon.TimingUnits</type>
+ <value>Cycles</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="transparentBridge">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="wellBehavedWaitrequest">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitStates">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>avalon</type>
+ <isStart>false</isStart>
+ <port>
+ <name>address</name>
+ <direction>Input</direction>
+ <width>3</width>
+ <role>address</role>
+ </port>
+ <port>
+ <name>writedata</name>
+ <direction>Input</direction>
+ <width>16</width>
+ <role>writedata</role>
+ </port>
+ <port>
+ <name>readdata</name>
+ <direction>Output</direction>
+ <width>16</width>
+ <role>readdata</role>
+ </port>
+ <port>
+ <name>chipselect</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>chipselect</role>
+ </port>
+ <port>
+ <name>write_n</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>write_n</role>
+ </port>
+ </interface>
+ <interface name="irq" kind="interrupt_sender" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="associatedAddressablePoint">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value>timer_0.s1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="irqScheme">
+ <type>com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme</type>
+ <value>NONE</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>interrupt</type>
+ <isStart>false</isStart>
+ <port>
+ <name>irq</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>irq</role>
+ </port>
+ </interface>
+ </module>
+ <module name="uart_0" kind="altera_avalon_uart" version="9.1">
+ <!-- Describes a single module. Module parameters are
+the requested settings for a module instance. -->
+ <assignment>
+ <name>embeddedsw.CMacro.BAUD</name>
+ <value>115200</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.DATA_BITS</name>
+ <value>8</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.FIXED_BAUD</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.PARITY</name>
+ <value>'N'</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.STOP_BITS</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.SYNC_REG_DEPTH</name>
+ <value>2</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.USE_CTS_RTS</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.USE_EOP_REGISTER</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.SIM_TRUE_BAUD</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.SIM_CHAR_STREAM</name>
+ <value>""</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.FREQ</name>
+ <value>50000000u</value>
+ </assignment>
+ <parameter name="baud">
+ <type>int</type>
+ <value>115200</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="baudError">
+ <type>double</type>
+ <value>0.01</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockRate">
+ <type>long</type>
+ <value>50000000</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="dataBits">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="fixedBaud">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="parity">
+ <type>com.altera.sopcmodel.components.avalon.AlteraAvalonUART.AlteraAvalonUART$UartParity</type>
+ <value>NONE</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="simCharStream">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="simInteractiveInputEnable">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="simInteractiveOutputEnable">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="simTrueBaud">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="stopBits">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="syncRegDepth">
+ <type>int</type>
+ <value>2</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="useCtsRts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="useEopRegister">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="useRelativePathForSimFile">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <interface name="clk" kind="clock_sink" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="clockRate">
+ <type>java.lang.Long</type>
+ <value>50000000</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockRateKnown">
+ <type>java.lang.Boolean</type>
+ <value>true</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="externallyDriven">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="ptfSchematicName">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>clock</type>
+ <isStart>false</isStart>
+ <port>
+ <name>clk</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>clk</role>
+ </port>
+ <port>
+ <name>reset_n</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>reset_n</role>
+ </port>
+ </interface>
+ <interface name="s1" kind="avalon_slave" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <assignment>
+ <name>embeddedsw.configuration.isPrintableDevice</name>
+ <value>1</value>
+ </assignment>
+ <parameter name="addressAlignment">
+ <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
+ <value>NATIVE</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressGroup">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressSpan">
+ <type>long</type>
+ <value>8</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="alwaysBurstMaxBurst">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedClock">
+ <type>java.lang.String</type>
+ <value>clk</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bitsPerSymbol">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bridgesToMaster">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstOnBurstBoundariesOnly">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstcountUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="constantBurstBehavior">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="explicitAddressSpan">
+ <type>long</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="holdTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="interleaveBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isFlash">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isMemoryDevice">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isNonVolatileStorage">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="linewrapBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maximumPendingReadTransactions">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="minimumUninterruptedRunLength">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="printableDevice">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitStates">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitTime">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerIncomingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerOutgoingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setupTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timingUnits">
+ <type>com.altera.sopcmodel.avalon.TimingUnits</type>
+ <value>Cycles</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="transparentBridge">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="wellBehavedWaitrequest">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitStates">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitTime">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>avalon</type>
+ <isStart>false</isStart>
+ <port>
+ <name>address</name>
+ <direction>Input</direction>
+ <width>3</width>
+ <role>address</role>
+ </port>
+ <port>
+ <name>begintransfer</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>begintransfer</role>
+ </port>
+ <port>
+ <name>chipselect</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>chipselect</role>
+ </port>
+ <port>
+ <name>read_n</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>read_n</role>
+ </port>
+ <port>
+ <name>write_n</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>write_n</role>
+ </port>
+ <port>
+ <name>writedata</name>
+ <direction>Input</direction>
+ <width>16</width>
+ <role>writedata</role>
+ </port>
+ <port>
+ <name>readdata</name>
+ <direction>Output</direction>
+ <width>16</width>
+ <role>readdata</role>
+ </port>
+ <port>
+ <name>dataavailable</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>dataavailable</role>
+ </port>
+ <port>
+ <name>readyfordata</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>readyfordata</role>
+ </port>
+ </interface>
+ <interface name="external_connection" kind="conduit" version="7.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>conduit</type>
+ <isStart>false</isStart>
+ <port>
+ <name>rxd</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>txd</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ </interface>
+ <interface name="irq" kind="interrupt_sender" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="associatedAddressablePoint">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value>uart_0.s1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="irqScheme">
+ <type>com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme</type>
+ <value>NONE</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>interrupt</type>
+ <isStart>false</isStart>
+ <port>
+ <name>irq</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>irq</role>
+ </port>
+ </interface>
+ </module>
+ <module name="jtag_uart_0" kind="altera_avalon_jtag_uart" version="9.1">
+ <!-- Describes a single module. Module parameters are
+the requested settings for a module instance. -->
+ <assignment>
+ <name>embeddedsw.CMacro.WRITE_DEPTH</name>
+ <value>64</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.READ_DEPTH</name>
+ <value>64</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.WRITE_THRESHOLD</name>
+ <value>8</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.READ_THRESHOLD</name>
+ <value>8</value>
+ </assignment>
+ <parameter name="allowMultipleConnections">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="hubInstanceID">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readBufferDepth">
+ <type>int</type>
+ <value>64</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readIRQThreshold">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="simInputCharacterStream">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="simInteractiveOptions">
+ <type>com.altera.sopcmodel.components.avalon.AlteraAvalonJtagUART.AlteraAvalonJtagUART$JtagSimulationOptions</type>
+ <value>INTERACTIVE_ASCII_OUTPUT</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="useRegistersForReadBuffer">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="useRegistersForWriteBuffer">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="useRelativePathForSimFile">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeBufferDepth">
+ <type>int</type>
+ <value>64</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeIRQThreshold">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <interface name="clk" kind="clock_sink" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="clockRate">
+ <type>long</type>
+ <value>0</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockRateKnown">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="externallyDriven">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="ptfSchematicName">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>clock</type>
+ <isStart>false</isStart>
+ <port>
+ <name>clk</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>clk</role>
+ </port>
+ <port>
+ <name>rst_n</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>reset_n</role>
+ </port>
+ </interface>
+ <interface name="avalon_jtag_slave" kind="avalon_slave" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <assignment>
+ <name>embeddedsw.configuration.isPrintableDevice</name>
+ <value>1</value>
+ </assignment>
+ <parameter name="addressAlignment">
+ <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
+ <value>NATIVE</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressGroup">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressSpan">
+ <type>long</type>
+ <value>2</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="alwaysBurstMaxBurst">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedClock">
+ <type>java.lang.String</type>
+ <value>clk</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bitsPerSymbol">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bridgesToMaster">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstOnBurstBoundariesOnly">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstcountUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="constantBurstBehavior">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="explicitAddressSpan">
+ <type>long</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="holdTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="interleaveBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isFlash">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isMemoryDevice">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isNonVolatileStorage">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="linewrapBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maximumPendingReadTransactions">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="minimumUninterruptedRunLength">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="printableDevice">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitStates">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitTime">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerIncomingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerOutgoingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setupTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timingUnits">
+ <type>com.altera.sopcmodel.avalon.TimingUnits</type>
+ <value>Cycles</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="transparentBridge">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="wellBehavedWaitrequest">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitStates">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>avalon</type>
+ <isStart>false</isStart>
+ <port>
+ <name>av_chipselect</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>chipselect</role>
+ </port>
+ <port>
+ <name>av_address</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>address</role>
+ </port>
+ <port>
+ <name>av_read_n</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>read_n</role>
+ </port>
+ <port>
+ <name>av_readdata</name>
+ <direction>Output</direction>
+ <width>32</width>
+ <role>readdata</role>
+ </port>
+ <port>
+ <name>av_write_n</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>write_n</role>
+ </port>
+ <port>
+ <name>av_writedata</name>
+ <direction>Input</direction>
+ <width>32</width>
+ <role>writedata</role>
+ </port>
+ <port>
+ <name>av_waitrequest</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>waitrequest</role>
+ </port>
+ <port>
+ <name>dataavailable</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>dataavailable</role>
+ </port>
+ <port>
+ <name>readyfordata</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>readyfordata</role>
+ </port>
+ </interface>
+ <interface name="irq" kind="interrupt_sender" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="associatedAddressablePoint">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value>jtag_uart_0.avalon_jtag_slave</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="irqScheme">
+ <type>com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme</type>
+ <value>NONE</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>interrupt</type>
+ <isStart>false</isStart>
+ <port>
+ <name>av_irq</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>irq</role>
+ </port>
+ </interface>
+ </module>
+ <module
+ name="onchip_memory2_0"
+ kind="altera_avalon_onchip_memory2"
+ version="9.1">
+ <!-- Describes a single module. Module parameters are
+the requested settings for a module instance. -->
+ <assignment>
+ <name>embeddedsw.CMacro.ALLOW_MRAM_SIM_CONTENTS_ONLY_FILE</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.INIT_CONTENTS_FILE</name>
+ <value>"onchip_memory2_0"</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.NON_DEFAULT_INIT_FILE_ENABLED</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.GUI_RAM_BLOCK_TYPE</name>
+ <value>"Automatic"</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.WRITABLE</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.DUAL_PORT</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.SIZE_VALUE</name>
+ <value>1024u</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.SIZE_MULTIPLE</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.CONTENTS_INFO</name>
+ <value>""</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.RAM_BLOCK_TYPE</name>
+ <value>"Auto"</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.INIT_MEM_CONTENT</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.ALLOW_IN_SYSTEM_MEMORY_CONTENT_EDITOR</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.INSTANCE_ID</name>
+ <value>"NONE"</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.READ_DURING_WRITE_MODE</name>
+ <value>"DONT_CARE"</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH</name>
+ <value>32</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.memoryInfo.HAS_BYTE_LANE</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.memoryInfo.GENERATE_HEX</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.memoryInfo.HEX_INSTALL_DIR</name>
+ <value>QPF_DIR</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.memoryInfo.GENERATE_DAT_SYM</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.memoryInfo.DAT_SYM_INSTALL_DIR</name>
+ <value>SIM_DIR</value>
+ </assignment>
+ <parameter name="allowInSystemMemoryContentEditor">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="blockType">
+ <type>com.altera.sopcmodel.components.avalon.AlteraAvalonOnchipMemory.AlteraAvalonOnchipMemory$BlockType</type>
+ <value>AUTO</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="dataWidth">
+ <type>int</type>
+ <value>32</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>Cyclone III</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="dualPort">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="initMemContent">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="initializationFileName">
+ <type>java.lang.String</type>
+ <value>onchip_memory2_0</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="instanceID">
+ <type>java.lang.String</type>
+ <value>NONE</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="memorySize">
+ <type>long</type>
+ <value>1024</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readDuringWriteMode">
+ <type>com.altera.sopcmodel.components.avalon.AlteraAvalonOnchipMemory.AlteraAvalonOnchipMemory$ReadDuringWriteMode</type>
+ <value>DONT_CARE</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="simAllowMRAMContentsFile">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="slave1Latency">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="slave2Latency">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="useNonDefaultInitFile">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="useShallowMemBlocks">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writable">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <interface name="clk1" kind="clock_sink" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="clockRate">
+ <type>long</type>
+ <value>0</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockRateKnown">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="externallyDriven">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="ptfSchematicName">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>clock</type>
+ <isStart>false</isStart>
+ <port>
+ <name>clk</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>clk</role>
+ </port>
+ </interface>
+ <interface name="s1" kind="avalon_slave" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <assignment>
+ <name>embeddedsw.configuration.isMemoryDevice</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.isNonVolatileStorage</name>
+ <value>0</value>
+ </assignment>
+ <parameter name="addressAlignment">
+ <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
+ <value>DYNAMIC</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressGroup">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressSpan">
+ <type>long</type>
+ <value>1024</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="alwaysBurstMaxBurst">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedClock">
+ <type>java.lang.String</type>
+ <value>clk1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bitsPerSymbol">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bridgesToMaster">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstOnBurstBoundariesOnly">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstcountUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="constantBurstBehavior">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="explicitAddressSpan">
+ <type>long</type>
+ <value>1024</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="holdTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="interleaveBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isFlash">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isMemoryDevice">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isNonVolatileStorage">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="linewrapBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maximumPendingReadTransactions">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="minimumUninterruptedRunLength">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="printableDevice">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readLatency">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitStates">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerIncomingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerOutgoingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setupTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timingUnits">
+ <type>com.altera.sopcmodel.avalon.TimingUnits</type>
+ <value>Cycles</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="transparentBridge">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="wellBehavedWaitrequest">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitStates">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>avalon</type>
+ <isStart>false</isStart>
+ <port>
+ <name>address</name>
+ <direction>Input</direction>
+ <width>8</width>
+ <role>address</role>
+ </port>
+ <port>
+ <name>chipselect</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>chipselect</role>
+ </port>
+ <port>
+ <name>clken</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>clken</role>
+ </port>
+ <port>
+ <name>readdata</name>
+ <direction>Output</direction>
+ <width>32</width>
+ <role>readdata</role>
+ </port>
+ <port>
+ <name>write</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>write</role>
+ </port>
+ <port>
+ <name>writedata</name>
+ <direction>Input</direction>
+ <width>32</width>
+ <role>writedata</role>
+ </port>
+ <port>
+ <name>byteenable</name>
+ <direction>Input</direction>
+ <width>4</width>
+ <role>byteenable</role>
+ </port>
+ </interface>
+ <interface name="clk2" kind="clock_sink" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="clockRate">
+ <type>long</type>
+ <value>0</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockRateKnown">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="externallyDriven">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="ptfSchematicName">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>clock</type>
+ <isStart>false</isStart>
+ <port>
+ <name>clk2</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>clk</role>
+ </port>
+ </interface>
+ <interface name="s2" kind="avalon_slave" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <assignment>
+ <name>embeddedsw.configuration.isMemoryDevice</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.isNonVolatileStorage</name>
+ <value>0</value>
+ </assignment>
+ <parameter name="addressAlignment">
+ <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
+ <value>DYNAMIC</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressGroup">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressSpan">
+ <type>long</type>
+ <value>1024</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="alwaysBurstMaxBurst">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedClock">
+ <type>java.lang.String</type>
+ <value>clk2</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bitsPerSymbol">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bridgesToMaster">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstOnBurstBoundariesOnly">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstcountUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="constantBurstBehavior">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="explicitAddressSpan">
+ <type>long</type>
+ <value>1024</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="holdTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="interleaveBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isFlash">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isMemoryDevice">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isNonVolatileStorage">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="linewrapBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maximumPendingReadTransactions">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="minimumUninterruptedRunLength">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="printableDevice">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readLatency">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitStates">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerIncomingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerOutgoingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setupTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timingUnits">
+ <type>com.altera.sopcmodel.avalon.TimingUnits</type>
+ <value>Cycles</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="transparentBridge">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="wellBehavedWaitrequest">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitStates">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>avalon</type>
+ <isStart>false</isStart>
+ <port>
+ <name>address2</name>
+ <direction>Input</direction>
+ <width>8</width>
+ <role>address</role>
+ </port>
+ <port>
+ <name>chipselect2</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>chipselect</role>
+ </port>
+ <port>
+ <name>clken2</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>clken</role>
+ </port>
+ <port>
+ <name>readdata2</name>
+ <direction>Output</direction>
+ <width>32</width>
+ <role>readdata</role>
+ </port>
+ <port>
+ <name>write2</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>write</role>
+ </port>
+ <port>
+ <name>writedata2</name>
+ <direction>Input</direction>
+ <width>32</width>
+ <role>writedata</role>
+ </port>
+ <port>
+ <name>byteenable2</name>
+ <direction>Input</direction>
+ <width>4</width>
+ <role>byteenable</role>
+ </port>
+ </interface>
+ </module>
+ <connection
+ name="clk_0.clk/cpu_0.clk"
+ kind="clock"
+ version="9.1"
+ start="clk_0.clk"
+ end="cpu_0.clk">
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>clk_0</startModule>
+ <startConnectionPoint>clk</startConnectionPoint>
+ <endModule>cpu_0</endModule>
+ <endConnectionPoint>clk</endConnectionPoint>
+ </connection>
+ <connection
+ name="cpu_0.instruction_master/cpu_0.jtag_debug_module"
+ kind="avalon"
+ version="6.1"
+ start="cpu_0.instruction_master"
+ end="cpu_0.jtag_debug_module">
+ <parameter name="arbitrationPriority">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="baseAddress">
+ <type>long</type>
+ <value>0x02801000</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>cpu_0</startModule>
+ <startConnectionPoint>instruction_master</startConnectionPoint>
+ <endModule>cpu_0</endModule>
+ <endConnectionPoint>jtag_debug_module</endConnectionPoint>
+ </connection>
+ <connection
+ name="cpu_0.data_master/cpu_0.jtag_debug_module"
+ kind="avalon"
+ version="6.1"
+ start="cpu_0.data_master"
+ end="cpu_0.jtag_debug_module">
+ <parameter name="arbitrationPriority">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="baseAddress">
+ <type>long</type>
+ <value>0x02801000</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>cpu_0</startModule>
+ <startConnectionPoint>data_master</startConnectionPoint>
+ <endModule>cpu_0</endModule>
+ <endConnectionPoint>jtag_debug_module</endConnectionPoint>
+ </connection>
+ <connection
+ name="clk_0.clk/sdram_0.clk"
+ kind="clock"
+ version="9.1"
+ start="clk_0.clk"
+ end="sdram_0.clk">
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>clk_0</startModule>
+ <startConnectionPoint>clk</startConnectionPoint>
+ <endModule>sdram_0</endModule>
+ <endConnectionPoint>clk</endConnectionPoint>
+ </connection>
+ <connection
+ name="cpu_0.instruction_master/sdram_0.s1"
+ kind="avalon"
+ version="6.1"
+ start="cpu_0.instruction_master"
+ end="sdram_0.s1">
+ <parameter name="arbitrationPriority">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="baseAddress">
+ <type>long</type>
+ <value>0x01000000</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>cpu_0</startModule>
+ <startConnectionPoint>instruction_master</startConnectionPoint>
+ <endModule>sdram_0</endModule>
+ <endConnectionPoint>s1</endConnectionPoint>
+ </connection>
+ <connection
+ name="cpu_0.data_master/sdram_0.s1"
+ kind="avalon"
+ version="6.1"
+ start="cpu_0.data_master"
+ end="sdram_0.s1">
+ <parameter name="arbitrationPriority">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="baseAddress">
+ <type>long</type>
+ <value>0x01000000</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>cpu_0</startModule>
+ <startConnectionPoint>data_master</startConnectionPoint>
+ <endModule>sdram_0</endModule>
+ <endConnectionPoint>s1</endConnectionPoint>
+ </connection>
+ <connection
+ name="clk_0.clk/tri_state_bridge_0.clk"
+ kind="clock"
+ version="9.1"
+ start="clk_0.clk"
+ end="tri_state_bridge_0.clk">
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>clk_0</startModule>
+ <startConnectionPoint>clk</startConnectionPoint>
+ <endModule>tri_state_bridge_0</endModule>
+ <endConnectionPoint>clk</endConnectionPoint>
+ </connection>
+ <connection
+ name="cpu_0.instruction_master/tri_state_bridge_0.avalon_slave"
+ kind="avalon"
+ version="6.1"
+ start="cpu_0.instruction_master"
+ end="tri_state_bridge_0.avalon_slave">
+ <parameter name="arbitrationPriority">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="baseAddress">
+ <type>long</type>
+ <value>0x0000</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>cpu_0</startModule>
+ <startConnectionPoint>instruction_master</startConnectionPoint>
+ <endModule>tri_state_bridge_0</endModule>
+ <endConnectionPoint>avalon_slave</endConnectionPoint>
+ </connection>
+ <connection
+ name="cpu_0.data_master/tri_state_bridge_0.avalon_slave"
+ kind="avalon"
+ version="6.1"
+ start="cpu_0.data_master"
+ end="tri_state_bridge_0.avalon_slave">
+ <parameter name="arbitrationPriority">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="baseAddress">
+ <type>long</type>
+ <value>0x0000</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>cpu_0</startModule>
+ <startConnectionPoint>data_master</startConnectionPoint>
+ <endModule>tri_state_bridge_0</endModule>
+ <endConnectionPoint>avalon_slave</endConnectionPoint>
+ </connection>
+ <connection
+ name="clk_0.clk/cfi_flash_0.clk"
+ kind="clock"
+ version="9.1"
+ start="clk_0.clk"
+ end="cfi_flash_0.clk">
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>clk_0</startModule>
+ <startConnectionPoint>clk</startConnectionPoint>
+ <endModule>cfi_flash_0</endModule>
+ <endConnectionPoint>clk</endConnectionPoint>
+ </connection>
+ <connection
+ name="tri_state_bridge_0.tristate_master/cfi_flash_0.s1"
+ kind="avalon_tristate"
+ version="9.1"
+ start="tri_state_bridge_0.tristate_master"
+ end="cfi_flash_0.s1">
+ <parameter name="arbitrationPriority">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="baseAddress">
+ <type>long</type>
+ <value>0x02400000</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>tri_state_bridge_0</startModule>
+ <startConnectionPoint>tristate_master</startConnectionPoint>
+ <endModule>cfi_flash_0</endModule>
+ <endConnectionPoint>s1</endConnectionPoint>
+ </connection>
+ <connection
+ name="clk_0.clk/epcs_flash_controller_0.clk"
+ kind="clock"
+ version="9.1"
+ start="clk_0.clk"
+ end="epcs_flash_controller_0.clk">
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>clk_0</startModule>
+ <startConnectionPoint>clk</startConnectionPoint>
+ <endModule>epcs_flash_controller_0</endModule>
+ <endConnectionPoint>clk</endConnectionPoint>
+ </connection>
+ <connection
+ name="cpu_0.instruction_master/epcs_flash_controller_0.epcs_control_port"
+ kind="avalon"
+ version="6.1"
+ start="cpu_0.instruction_master"
+ end="epcs_flash_controller_0.epcs_control_port">
+ <parameter name="arbitrationPriority">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="baseAddress">
+ <type>long</type>
+ <value>0x02801800</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>cpu_0</startModule>
+ <startConnectionPoint>instruction_master</startConnectionPoint>
+ <endModule>epcs_flash_controller_0</endModule>
+ <endConnectionPoint>epcs_control_port</endConnectionPoint>
+ </connection>
+ <connection
+ name="cpu_0.data_master/epcs_flash_controller_0.epcs_control_port"
+ kind="avalon"
+ version="6.1"
+ start="cpu_0.data_master"
+ end="epcs_flash_controller_0.epcs_control_port">
+ <parameter name="arbitrationPriority">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="baseAddress">
+ <type>long</type>
+ <value>0x02801800</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>cpu_0</startModule>
+ <startConnectionPoint>data_master</startConnectionPoint>
+ <endModule>epcs_flash_controller_0</endModule>
+ <endConnectionPoint>epcs_control_port</endConnectionPoint>
+ </connection>
+ <connection
+ name="cpu_0.d_irq/epcs_flash_controller_0.irq"
+ kind="interrupt"
+ version="9.1"
+ start="cpu_0.d_irq"
+ end="epcs_flash_controller_0.irq">
+ <parameter name="irqNumber">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>cpu_0</startModule>
+ <startConnectionPoint>d_irq</startConnectionPoint>
+ <endModule>epcs_flash_controller_0</endModule>
+ <endConnectionPoint>irq</endConnectionPoint>
+ </connection>
+ <connection
+ name="clk_0.clk/timer_0.clk"
+ kind="clock"
+ version="9.1"
+ start="clk_0.clk"
+ end="timer_0.clk">
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>clk_0</startModule>
+ <startConnectionPoint>clk</startConnectionPoint>
+ <endModule>timer_0</endModule>
+ <endConnectionPoint>clk</endConnectionPoint>
+ </connection>
+ <connection
+ name="cpu_0.data_master/timer_0.s1"
+ kind="avalon"
+ version="6.1"
+ start="cpu_0.data_master"
+ end="timer_0.s1">
+ <parameter name="arbitrationPriority">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="baseAddress">
+ <type>long</type>
+ <value>0x02802000</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>cpu_0</startModule>
+ <startConnectionPoint>data_master</startConnectionPoint>
+ <endModule>timer_0</endModule>
+ <endConnectionPoint>s1</endConnectionPoint>
+ </connection>
+ <connection
+ name="cpu_0.d_irq/timer_0.irq"
+ kind="interrupt"
+ version="9.1"
+ start="cpu_0.d_irq"
+ end="timer_0.irq">
+ <parameter name="irqNumber">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>cpu_0</startModule>
+ <startConnectionPoint>d_irq</startConnectionPoint>
+ <endModule>timer_0</endModule>
+ <endConnectionPoint>irq</endConnectionPoint>
+ </connection>
+ <connection
+ name="clk_0.clk/uart_0.clk"
+ kind="clock"
+ version="9.1"
+ start="clk_0.clk"
+ end="uart_0.clk">
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>clk_0</startModule>
+ <startConnectionPoint>clk</startConnectionPoint>
+ <endModule>uart_0</endModule>
+ <endConnectionPoint>clk</endConnectionPoint>
+ </connection>
+ <connection
+ name="cpu_0.data_master/uart_0.s1"
+ kind="avalon"
+ version="6.1"
+ start="cpu_0.data_master"
+ end="uart_0.s1">
+ <parameter name="arbitrationPriority">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="baseAddress">
+ <type>long</type>
+ <value>0x02802020</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>cpu_0</startModule>
+ <startConnectionPoint>data_master</startConnectionPoint>
+ <endModule>uart_0</endModule>
+ <endConnectionPoint>s1</endConnectionPoint>
+ </connection>
+ <connection
+ name="cpu_0.d_irq/uart_0.irq"
+ kind="interrupt"
+ version="9.1"
+ start="cpu_0.d_irq"
+ end="uart_0.irq">
+ <parameter name="irqNumber">
+ <type>int</type>
+ <value>2</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>cpu_0</startModule>
+ <startConnectionPoint>d_irq</startConnectionPoint>
+ <endModule>uart_0</endModule>
+ <endConnectionPoint>irq</endConnectionPoint>
+ </connection>
+ <connection
+ name="clk_0.clk/jtag_uart_0.clk"
+ kind="clock"
+ version="9.1"
+ start="clk_0.clk"
+ end="jtag_uart_0.clk">
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>clk_0</startModule>
+ <startConnectionPoint>clk</startConnectionPoint>
+ <endModule>jtag_uart_0</endModule>
+ <endConnectionPoint>clk</endConnectionPoint>
+ </connection>
+ <connection
+ name="cpu_0.data_master/jtag_uart_0.avalon_jtag_slave"
+ kind="avalon"
+ version="6.1"
+ start="cpu_0.data_master"
+ end="jtag_uart_0.avalon_jtag_slave">
+ <parameter name="arbitrationPriority">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="baseAddress">
+ <type>long</type>
+ <value>0x02802040</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>cpu_0</startModule>
+ <startConnectionPoint>data_master</startConnectionPoint>
+ <endModule>jtag_uart_0</endModule>
+ <endConnectionPoint>avalon_jtag_slave</endConnectionPoint>
+ </connection>
+ <connection
+ name="cpu_0.d_irq/jtag_uart_0.irq"
+ kind="interrupt"
+ version="9.1"
+ start="cpu_0.d_irq"
+ end="jtag_uart_0.irq">
+ <parameter name="irqNumber">
+ <type>int</type>
+ <value>3</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>cpu_0</startModule>
+ <startConnectionPoint>d_irq</startConnectionPoint>
+ <endModule>jtag_uart_0</endModule>
+ <endConnectionPoint>irq</endConnectionPoint>
+ </connection>
+ <connection
+ name="clk_0.clk/onchip_memory2_0.clk1"
+ kind="clock"
+ version="9.1"
+ start="clk_0.clk"
+ end="onchip_memory2_0.clk1">
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>clk_0</startModule>
+ <startConnectionPoint>clk</startConnectionPoint>
+ <endModule>onchip_memory2_0</endModule>
+ <endConnectionPoint>clk1</endConnectionPoint>
+ </connection>
+ <connection
+ name="clk_0.clk/onchip_memory2_0.clk2"
+ kind="clock"
+ version="9.1"
+ start="clk_0.clk"
+ end="onchip_memory2_0.clk2">
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>clk_0</startModule>
+ <startConnectionPoint>clk</startConnectionPoint>
+ <endModule>onchip_memory2_0</endModule>
+ <endConnectionPoint>clk2</endConnectionPoint>
+ </connection>
+ <connection
+ name="cpu_0.tightly_coupled_instruction_master_0/onchip_memory2_0.s1"
+ kind="avalon"
+ version="6.1"
+ start="cpu_0.tightly_coupled_instruction_master_0"
+ end="onchip_memory2_0.s1">
+ <parameter name="arbitrationPriority">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="baseAddress">
+ <type>long</type>
+ <value>0x1000</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>cpu_0</startModule>
+ <startConnectionPoint>tightly_coupled_instruction_master_0</startConnectionPoint>
+ <endModule>onchip_memory2_0</endModule>
+ <endConnectionPoint>s1</endConnectionPoint>
+ </connection>
+ <connection
+ name="cpu_0.tightly_coupled_data_master_0/onchip_memory2_0.s2"
+ kind="avalon"
+ version="6.1"
+ start="cpu_0.tightly_coupled_data_master_0"
+ end="onchip_memory2_0.s2">
+ <parameter name="arbitrationPriority">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="baseAddress">
+ <type>long</type>
+ <value>0x0000</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>cpu_0</startModule>
+ <startConnectionPoint>tightly_coupled_data_master_0</startConnectionPoint>
+ <endModule>onchip_memory2_0</endModule>
+ <endConnectionPoint>s2</endConnectionPoint>
+ </connection>
+ <plugin>
+ <instanceCount>1</instanceCount>
+ <name>altera_avalon_new_sdram_controller</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>SDRAM Controller</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>1</instanceCount>
+ <name>altera_avalon_cfi_flash</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>Flash Memory Interface (CFI)</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>1</instanceCount>
+ <name>nios_custom_instruction_master</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Custom Instruction Master</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>4</instanceCount>
+ <name>interrupt_sender</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Interrupt Sender</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>4</instanceCount>
+ <name>interrupt</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IConnection</subtype>
+ <displayName>Interrupt Connection</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>10</instanceCount>
+ <name>clock</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IConnection</subtype>
+ <displayName>Clock Connection</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>11</instanceCount>
+ <name>clock_sink</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Clock Input</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>1</instanceCount>
+ <name>altera_avalon_timer</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>Interval Timer</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>1</instanceCount>
+ <name>altera_avalon_tri_state_bridge</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>Avalon-MM Tristate Bridge</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>1</instanceCount>
+ <name>avalon_tristate</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IConnection</subtype>
+ <displayName>Avalon Memory Mapped Tristate Connection</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>1</instanceCount>
+ <name>avalon_tristate_slave</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Avalon Memory Mapped Tristate Slave</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>4</instanceCount>
+ <name>avalon_master</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Avalon Memory Mapped Master</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>9</instanceCount>
+ <name>avalon_slave</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Avalon Memory Mapped Slave</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>1</instanceCount>
+ <name>interrupt_receiver</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Interrupt Receiver</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>1</instanceCount>
+ <name>altera_nios2</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>Nios II Processor</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>1</instanceCount>
+ <name>clock_source</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>Clock Source</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>1</instanceCount>
+ <name>clock_source</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Clock Output</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>1</instanceCount>
+ <name>altera_avalon_onchip_memory2</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>On-Chip Memory (RAM or ROM)</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>1</instanceCount>
+ <name>altera_avalon_uart</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>UART (RS-232 Serial Port)</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>1</instanceCount>
+ <name>altera_avalon_epcs_flash_controller</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>EPCS Serial Flash Controller</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>1</instanceCount>
+ <name>avalon_tristate_master</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Avalon Memory Mapped Tristate Master</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>1</instanceCount>
+ <name>altera_avalon_jtag_uart</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>JTAG UART</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>13</instanceCount>
+ <name>avalon</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IConnection</subtype>
+ <displayName>Avalon Memory Mapped Connection</displayName>
+ <version>6.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>3</instanceCount>
+ <name>conduit</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Conduit Endpoint</displayName>
+ <version>7.1</version>
+ </plugin>
+ <reportVersion>9.1 222</reportVersion>
+ <uniqueIdentifier>0023AE674DE00000012786918231</uniqueIdentifier>
+</EnsembleReport>