summaryrefslogtreecommitdiff
path: root/.vim/filetype.vim
diff options
context:
space:
mode:
authorTobias Klauser <klto@zhaw.ch>2010-02-01 13:46:02 +0100
committerTobias Klauser <klto@zhaw.ch>2010-02-01 13:46:02 +0100
commitaafcbc83ee446c42c158876fd38ddb02638803c6 (patch)
tree2f8d37d6995436d7c05283a67be74df36e5f4f0a /.vim/filetype.vim
parentd6fea6d51d4f14420640ed0598113dbbbf77864d (diff)
Add System Verilog syntax highlighting
Diffstat (limited to '.vim/filetype.vim')
-rw-r--r--.vim/filetype.vim3
1 files changed, 3 insertions, 0 deletions
diff --git a/.vim/filetype.vim b/.vim/filetype.vim
index 011cf04..ee3a3d8 100644
--- a/.vim/filetype.vim
+++ b/.vim/filetype.vim
@@ -2076,6 +2076,9 @@ au BufNewFile,BufRead *.va,*.vams setf verilogams
au BufNewFile,BufRead *.hdl,*.vhd,*.vhdl,*.vbe,*.vst setf vhdl
au BufNewFile,BufRead *.vhdl_[0-9]* call s:StarSetf('vhdl')
+" System Verilog
+au BufNewFile,BufRead *.sv setf systemverilog
+
" Vim script
au BufNewFile,BufRead *.vim,*.vba,.exrc,_exrc setf vim