summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorTobias Klauser <tklauser@distanz.ch>2020-10-21 20:25:56 +0200
committerTobias Klauser <tklauser@distanz.ch>2020-10-21 20:25:56 +0200
commita4c32623d24d6dabb4ffdb536d3b1d91ba2f8007 (patch)
tree1f9a43dc440a2afe889519bae211b1b5b48f2e23
parentceb582e5da90a534ab6338f74bee24de4a449a8b (diff)
zshenv: remove 99_altera
-rw-r--r--.zsh/zshenv/99_altera22
1 files changed, 0 insertions, 22 deletions
diff --git a/.zsh/zshenv/99_altera b/.zsh/zshenv/99_altera
deleted file mode 100644
index bb1f531..0000000
--- a/.zsh/zshenv/99_altera
+++ /dev/null
@@ -1,22 +0,0 @@
-# zshenv/99_altera
-#
-# set up Altera specific variables
-#
-# Copyright © 2011-2013 Tobias Klauser <tklauser@distanz.ch>
-# Released under the terms of the Artistic Licence 2.0
-#
-# Source repository: git://git.distanz.ch/dotfiles/zsh.git
-
-ALTERADIR=/opt/altera9.1
-
-if [ -d $ALTERADIR ] ; then
- export LM_LICENSE_FILE=7172@rizzo.zhaw.ch
- export QUARTUS_64BIT=0
- export SOPC_KIT_NIOS2=$ALTERADIR/nios2eds
- export SOPC_BUILDER_PATH=$ALTERADIR/nios2eds:$ALTERADIR/ip/ddr_ddr2_sdram/lib/sopc_builder:$ALTERADIR/ip/pci_compiler/lib/sopc_builder
- export QUARTUS_ROOTDIR=$ALTERADIR/quartus
- export SOPC_BUILDER_PATH_80=$ALTERADIR/nios2eds
- export PATH=$PATH:$ALTERADIR/quartus/bin:$ALTERADIR/nios2eds/bin:$ALTERADIR/quartus/linux:$ALTERADIR/nios2eds/bin/nios2-gnutools/H-i686-pc-linux-gnu/bin:$ALTERADIR/quartus/sopc_builder/bin
-fi
-
-# vim:ft=zsh