summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorTobias Klauser <tklauser@distanz.ch>2011-01-19 19:07:27 +0100
committerTobias Klauser <tklauser@distanz.ch>2011-01-19 19:07:27 +0100
commit3ead429e520784e8d08da5305ea0b08aa7bc7e1d (patch)
tree48ba64a1013132196835866ff05fffdfa1cef31a
parent88a11cb091e250829763793181e23921ef91f9e1 (diff)
Point reset vector to CFI flash
-rw-r--r--quartus/dionysos_nios2mmu.sopc38
-rw-r--r--quartus/dionysos_nios2mmu.sopcinfo216
2 files changed, 127 insertions, 127 deletions
diff --git a/quartus/dionysos_nios2mmu.sopc b/quartus/dionysos_nios2mmu.sopc
index 3ceafcf..82b9b0b 100644
--- a/quartus/dionysos_nios2mmu.sopc
+++ b/quartus/dionysos_nios2mmu.sopc
@@ -24,7 +24,7 @@
}
datum megawizard_uipreferences
{
- value = "{output_language=VHDL, output_directory=/home/tklauser/projects/dionysos_nios2mmu}";
+ value = "{output_language=VHDL, output_directory=/home/tklauser/projects/dionysos_nios2mmu/quartus}";
type = "String";
}
}
@@ -71,7 +71,7 @@
}
datum megawizard_uipreferences
{
- value = "{output_language=VHDL, output_directory=/home/tklauser/projects/dionysos_nios2mmu/quartus}";
+ value = "{}";
type = "String";
}
}
@@ -182,7 +182,7 @@
type = "String";
}
}
- element onchip_memory2_0.s1
+ element watchdog_timer.s1
{
datum _lockedAddress
{
@@ -191,11 +191,11 @@
}
datum baseAddress
{
- value = "41951232";
+ value = "76021760";
type = "long";
}
}
- element descriptor_memory.s1
+ element timer_0.s1
{
datum _lockedAddress
{
@@ -204,11 +204,11 @@
}
datum baseAddress
{
- value = "75759616";
+ value = "41953408";
type = "long";
}
}
- element sdram_0.s1
+ element cfi_flash_0.s1
{
datum _lockedAddress
{
@@ -217,11 +217,11 @@
}
datum baseAddress
{
- value = "16777216";
+ value = "37748736";
type = "long";
}
}
- element timer_0.s1
+ element uart_0.s1
{
datum _lockedAddress
{
@@ -230,11 +230,11 @@
}
datum baseAddress
{
- value = "41953408";
+ value = "41953440";
type = "long";
}
}
- element watchdog_timer.s1
+ element sdram_0.s1
{
datum _lockedAddress
{
@@ -243,11 +243,11 @@
}
datum baseAddress
{
- value = "76021760";
+ value = "16777216";
type = "long";
}
}
- element cfi_flash_0.s1
+ element onchip_memory2_0.s1
{
datum _lockedAddress
{
@@ -256,11 +256,11 @@
}
datum baseAddress
{
- value = "37748736";
+ value = "41951232";
type = "long";
}
}
- element uart_0.s1
+ element descriptor_memory.s1
{
datum _lockedAddress
{
@@ -269,7 +269,7 @@
}
datum baseAddress
{
- value = "41953440";
+ value = "75759616";
type = "long";
}
}
@@ -410,8 +410,8 @@
<parameter name="hardcopyCompatible" value="false" />
<parameter name="hdlLanguage" value="VHDL" />
<parameter name="projectName">dionysos_nios2mmu.qpf</parameter>
- <parameter name="systemHash" value="-77315762035" />
- <parameter name="timeStamp" value="1295440989718" />
+ <parameter name="systemHash" value="-77631020099" />
+ <parameter name="timeStamp" value="1295459557215" />
<module name="clk_0" kind="clock_source" version="9.1" enabled="1">
<parameter name="clockFrequency" value="50000000" />
<parameter name="clockFrequencyKnown" value="true" />
@@ -448,7 +448,7 @@
<parameter name="setting_activateModelChecker" value="false" />
<parameter name="setting_HDLSimCachesCleared" value="true" />
<parameter name="setting_HBreakTest" value="false" />
- <parameter name="resetSlave" value="sdram_0.s1" />
+ <parameter name="resetSlave" value="cfi_flash_0.s1" />
<parameter name="resetOffset" value="0" />
<parameter name="muldiv_multiplierType" value="EmbeddedMulFast" />
<parameter name="muldiv_divider" value="true" />
diff --git a/quartus/dionysos_nios2mmu.sopcinfo b/quartus/dionysos_nios2mmu.sopcinfo
index 24c252a..a2f3b38 100644
--- a/quartus/dionysos_nios2mmu.sopcinfo
+++ b/quartus/dionysos_nios2mmu.sopcinfo
@@ -4,7 +4,7 @@
kind="com_altera_sopcmodel_ensemble_Ensemble"
version="9.1">
<!-- Format version 9.1sp2 350 (Future versions may contain additional information.) -->
- <!-- 2011.01.19.13:44:07 -->
+ <!-- 2011.01.19.18:53:03 -->
<!-- A collection of modules and connections -->
<parameter name="deviceFamily">
<type>com.altera.entityinterfaces.moduleext.IDeviceFamily$EDeviceFamily</type>
@@ -48,7 +48,7 @@
</parameter>
<parameter name="systemHash">
<type>long</type>
- <value>-77315762035</value>
+ <value>-77631020099</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -56,7 +56,7 @@
</parameter>
<parameter name="timeStamp">
<type>long</type>
- <value>1295440989718</value>
+ <value>1295459557215</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -417,7 +417,7 @@ the requested settings for a module instance. -->
</assignment>
<assignment>
<name>embeddedsw.configuration.resetSlave</name>
- <value>sdram_0.s1</value>
+ <value>cfi_flash_0.s1</value>
</assignment>
<assignment>
<name>embeddedsw.configuration.resetOffset</name>
@@ -497,7 +497,7 @@ the requested settings for a module instance. -->
</assignment>
<assignment>
<name>embeddedsw.CMacro.RESET_ADDR</name>
- <value>0xc1000000</value>
+ <value>0xc2400000</value>
</assignment>
<assignment>
<name>embeddedsw.CMacro.BREAK_ADDR</name>
@@ -805,7 +805,7 @@ the requested settings for a module instance. -->
</parameter>
<parameter name="resetSlave">
<type>com.altera.entityinterfaces.IConnectionPoint</type>
- <value>sdram_0.s1</value>
+ <value>cfi_flash_0.s1</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -14966,15 +14966,15 @@ parameters are a RESULT of the module parameters. -->
the requested settings for a module instance. -->
<assignment>
<name>embeddedsw.CMacro.ID</name>
- <value>6350743u</value>
+ <value>321608807u</value>
</assignment>
<assignment>
<name>embeddedsw.CMacro.TIMESTAMP</name>
- <value>1295440990u</value>
+ <value>1295459557u</value>
</assignment>
<parameter name="id">
<type>long</type>
- <value>6350743</value>
+ <value>321608807</value>
<derived>true</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -14982,7 +14982,7 @@ the requested settings for a module instance. -->
</parameter>
<parameter name="timestamp">
<type>long</type>
- <value>1295440990</value>
+ <value>1295459557</value>
<derived>true</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -17307,99 +17307,83 @@ parameters are a RESULT of the module parameters. -->
<endConnectionPoint>control_slave</endConnectionPoint>
</connection>
<plugin>
- <instanceCount>7</instanceCount>
- <name>interrupt</name>
+ <instanceCount>1</instanceCount>
+ <name>avalon_tristate_slave</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IConnection</subtype>
- <displayName>Interrupt Connection</displayName>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Avalon Memory Mapped Tristate Slave</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_tri_state_bridge</name>
+ <name>altera_avalon_cfi_flash</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Avalon-MM Tristate Bridge</displayName>
+ <displayName>Flash Memory Interface (CFI)</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>4</instanceCount>
- <name>conduit</name>
- <type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Conduit Endpoint</displayName>
- <version>7.1</version>
- </plugin>
- <plugin>
<instanceCount>1</instanceCount>
- <name>interrupt_receiver</name>
+ <name>avalon_tristate</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Interrupt Receiver</displayName>
+ <subtype>com.altera.entityinterfaces.IConnection</subtype>
+ <displayName>Avalon Memory Mapped Tristate Connection</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>1</instanceCount>
- <name>altera_avalon_new_sdram_controller</name>
+ <instanceCount>2</instanceCount>
+ <name>altera_avalon_timer</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>SDRAM Controller</displayName>
+ <displayName>Interval Timer</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>1</instanceCount>
- <name>avalon_tristate_slave</name>
+ <instanceCount>18</instanceCount>
+ <name>clock</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Memory Mapped Tristate Slave</displayName>
+ <subtype>com.altera.entityinterfaces.IConnection</subtype>
+ <displayName>Clock Connection</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_jtag_uart</name>
+ <name>altera_avalon_tri_state_bridge</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>JTAG UART</displayName>
+ <displayName>Avalon-MM Tristate Bridge</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_nios2</name>
+ <name>altera_avalon_sysid</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Nios II Processor</displayName>
- <version>9.1</version>
- </plugin>
- <plugin>
- <instanceCount>7</instanceCount>
- <name>interrupt_sender</name>
- <type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Interrupt Sender</displayName>
+ <displayName>System ID Peripheral</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>25</instanceCount>
- <name>avalon</name>
+ <instanceCount>2</instanceCount>
+ <name>avalon_streaming</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IConnection</subtype>
- <displayName>Avalon Memory Mapped Connection</displayName>
- <version>6.1</version>
+ <displayName>Avalon Streaming Connection</displayName>
+ <version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>avalon_tristate_master</name>
+ <name>clock_source</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Memory Mapped Tristate Master</displayName>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>Clock Source</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>2</instanceCount>
- <name>avalon_streaming</name>
+ <instanceCount>19</instanceCount>
+ <name>clock_sink</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IConnection</subtype>
- <displayName>Avalon Streaming Connection</displayName>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Clock Input</displayName>
<version>9.1</version>
</plugin>
<plugin>
@@ -17411,35 +17395,27 @@ parameters are a RESULT of the module parameters. -->
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>1</instanceCount>
- <name>triple_speed_ethernet</name>
- <type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Triple-Speed Ethernet</displayName>
- <version>9.1</version>
- </plugin>
- <plugin>
- <instanceCount>1</instanceCount>
- <name>avalon_tristate</name>
+ <instanceCount>15</instanceCount>
+ <name>avalon_slave</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IConnection</subtype>
- <displayName>Avalon Memory Mapped Tristate Connection</displayName>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Avalon Memory Mapped Slave</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>1</instanceCount>
- <name>nios_custom_instruction_master</name>
+ <instanceCount>2</instanceCount>
+ <name>avalon_streaming_source</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Custom Instruction Master</displayName>
+ <displayName>Avalon Streaming Source</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_epcs_flash_controller</name>
+ <name>altera_avalon_uart</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>EPCS Serial Flash Controller</displayName>
+ <displayName>UART (RS-232 Serial Port)</displayName>
<version>9.1</version>
</plugin>
<plugin>
@@ -17451,43 +17427,51 @@ parameters are a RESULT of the module parameters. -->
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>2</instanceCount>
- <name>avalon_streaming_source</name>
+ <instanceCount>1</instanceCount>
+ <name>altera_nios2</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Streaming Source</displayName>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>Nios II Processor</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_cfi_flash</name>
+ <name>altera_avalon_new_sdram_controller</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Flash Memory Interface (CFI)</displayName>
+ <displayName>SDRAM Controller</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>18</instanceCount>
- <name>clock</name>
+ <instanceCount>2</instanceCount>
+ <name>avalon_streaming_sink</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IConnection</subtype>
- <displayName>Clock Connection</displayName>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Avalon Streaming Sink</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>19</instanceCount>
- <name>clock_sink</name>
+ <instanceCount>1</instanceCount>
+ <name>avalon_tristate_master</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Clock Input</displayName>
+ <displayName>Avalon Memory Mapped Tristate Master</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>clock_source</name>
+ <name>triple_speed_ethernet</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Clock Source</displayName>
+ <displayName>Triple-Speed Ethernet</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>7</instanceCount>
+ <name>interrupt</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IConnection</subtype>
+ <displayName>Interrupt Connection</displayName>
<version>9.1</version>
</plugin>
<plugin>
@@ -17499,53 +17483,69 @@ parameters are a RESULT of the module parameters. -->
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>15</instanceCount>
- <name>avalon_slave</name>
+ <instanceCount>1</instanceCount>
+ <name>altera_avalon_jtag_uart</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>JTAG UART</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>7</instanceCount>
+ <name>interrupt_sender</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Memory Mapped Slave</displayName>
+ <displayName>Interrupt Sender</displayName>
<version>9.1</version>
</plugin>
<plugin>
+ <instanceCount>4</instanceCount>
+ <name>conduit</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Conduit Endpoint</displayName>
+ <version>7.1</version>
+ </plugin>
+ <plugin>
<instanceCount>1</instanceCount>
- <name>clock_source</name>
+ <name>nios_custom_instruction_master</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Clock Output</displayName>
+ <displayName>Custom Instruction Master</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_sysid</name>
+ <name>clock_source</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>System ID Peripheral</displayName>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Clock Output</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_uart</name>
+ <name>altera_avalon_epcs_flash_controller</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>UART (RS-232 Serial Port)</displayName>
+ <displayName>EPCS Serial Flash Controller</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>2</instanceCount>
- <name>altera_avalon_timer</name>
+ <instanceCount>25</instanceCount>
+ <name>avalon</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Interval Timer</displayName>
- <version>9.1</version>
+ <subtype>com.altera.entityinterfaces.IConnection</subtype>
+ <displayName>Avalon Memory Mapped Connection</displayName>
+ <version>6.1</version>
</plugin>
<plugin>
- <instanceCount>2</instanceCount>
- <name>avalon_streaming_sink</name>
+ <instanceCount>1</instanceCount>
+ <name>interrupt_receiver</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Streaming Sink</displayName>
+ <displayName>Interrupt Receiver</displayName>
<version>9.1</version>
</plugin>
<reportVersion>9.1sp2 350</reportVersion>
- <uniqueIdentifier>0023AE674DE00000012D9E4A9A2B</uniqueIdentifier>
+ <uniqueIdentifier>0015120002040000012D9F67E6DA</uniqueIdentifier>
</EnsembleReport>