summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorTobias Klauser <tklauser@distanz.ch>2013-01-09 14:03:23 +0100
committerTobias Klauser <tklauser@distanz.ch>2013-01-09 14:03:23 +0100
commit4bf58ac486b151293a0c0f9bd598e44311eb2022 (patch)
tree64e48885974aa9070e3e97d46ba7378228dea3c3
parent134933c6336efed17dde077df3a9a3233b620bae (diff)
Update LED vector length, pid bits and address ranges of SOPC components
-rw-r--r--dionysos_top.vhd2
-rw-r--r--quartus/dionysos_nios2mmu.sopc58
-rw-r--r--quartus/dionysos_nios2mmu.sopcinfo308
3 files changed, 190 insertions, 178 deletions
diff --git a/dionysos_top.vhd b/dionysos_top.vhd
index 19dd923..e167acb 100644
--- a/dionysos_top.vhd
+++ b/dionysos_top.vhd
@@ -219,7 +219,7 @@ end dionysos_top;
architecture rtl of dionysos_top is
--! front pannel LEDs
- signal leds_front : std_logic_vector(13 downto 0);
+ signal leds_front : std_logic_vector(15 downto 0);
--! board LEDs
signal leds_board : std_logic_vector(3 downto 0);
diff --git a/quartus/dionysos_nios2mmu.sopc b/quartus/dionysos_nios2mmu.sopc
index e99297b..9a0bf47 100644
--- a/quartus/dionysos_nios2mmu.sopc
+++ b/quartus/dionysos_nios2mmu.sopc
@@ -11,7 +11,7 @@
}
datum sopceditor_expanded
{
- value = "0";
+ value = "1";
type = "boolean";
}
}
@@ -115,7 +115,7 @@
}
datum megawizard_uipreferences
{
- value = "{output_language=VHDL, output_directory=/home/tklauser/projects/dionysos_nios2mmu/quartus}";
+ value = "{}";
type = "String";
}
}
@@ -143,7 +143,7 @@
type = "int";
}
}
- element sgdma_tx.csr
+ element sgdma_rx.csr
{
datum _lockedAddress
{
@@ -152,11 +152,11 @@
}
datum baseAddress
{
- value = "136314880";
+ value = "135266304";
type = "long";
}
}
- element sgdma_rx.csr
+ element sgdma_tx.csr
{
datum _lockedAddress
{
@@ -165,7 +165,7 @@
}
datum baseAddress
{
- value = "135266304";
+ value = "136314880";
type = "long";
}
}
@@ -309,7 +309,7 @@
type = "String";
}
}
- element pio_leds_front.s1
+ element uart_0.s1
{
datum _lockedAddress
{
@@ -318,11 +318,11 @@
}
datum baseAddress
{
- value = "71303200";
+ value = "100663296";
type = "long";
}
}
- element descriptor_memory.s1
+ element watchdog_timer.s1
{
datum _lockedAddress
{
@@ -331,11 +331,11 @@
}
datum baseAddress
{
- value = "75759616";
+ value = "76021760";
type = "long";
}
}
- element uart_0.s1
+ element sdram_0.s1
{
datum _lockedAddress
{
@@ -344,11 +344,11 @@
}
datum baseAddress
{
- value = "100663296";
+ value = "0";
type = "long";
}
}
- element pio_dipswitch.s1
+ element pio_leds_front.s1
{
datum _lockedAddress
{
@@ -357,11 +357,11 @@
}
datum baseAddress
{
- value = "71303264";
+ value = "71303200";
type = "long";
}
}
- element pio_leds_board.s1
+ element onchip_memory2_0.s1
{
datum _lockedAddress
{
@@ -370,11 +370,11 @@
}
datum baseAddress
{
- value = "71303232";
+ value = "75505664";
type = "long";
}
}
- element sdram_0.s1
+ element descriptor_memory.s1
{
datum _lockedAddress
{
@@ -383,11 +383,11 @@
}
datum baseAddress
{
- value = "0";
+ value = "75759616";
type = "long";
}
}
- element watchdog_timer.s1
+ element pio_leds_board.s1
{
datum _lockedAddress
{
@@ -396,7 +396,7 @@
}
datum baseAddress
{
- value = "76021760";
+ value = "71303232";
type = "long";
}
}
@@ -413,7 +413,7 @@
type = "long";
}
}
- element onchip_memory2_0.s1
+ element timer_0.s1
{
datum _lockedAddress
{
@@ -422,11 +422,11 @@
}
datum baseAddress
{
- value = "75505664";
+ value = "71303168";
type = "long";
}
}
- element timer_0.s1
+ element pio_dipswitch.s1
{
datum _lockedAddress
{
@@ -435,7 +435,7 @@
}
datum baseAddress
{
- value = "71303168";
+ value = "71303264";
type = "long";
}
}
@@ -621,8 +621,8 @@
<parameter name="hardcopyCompatible" value="false" />
<parameter name="hdlLanguage" value="VHDL" />
<parameter name="projectName">dionysos_nios2mmu.qpf</parameter>
- <parameter name="systemHash" value="-101819761844" />
- <parameter name="timeStamp" value="1331195628538" />
+ <parameter name="systemHash" value="-100124991612" />
+ <parameter name="timeStamp" value="1351848799774" />
<module name="clk_0" kind="clock_source" version="9.1" enabled="1">
<parameter name="clockFrequency" value="50000000" />
<parameter name="clockFrequencyKnown" value="true" />
@@ -673,7 +673,7 @@
<parameter name="mmu_udtlbNumEntries" value="_6" />
<parameter name="mmu_tlbPtrSz" value="_7" />
<parameter name="mmu_tlbNumWays" value="_16" />
- <parameter name="mmu_processIDNumBits" value="_10" />
+ <parameter name="mmu_processIDNumBits" value="_14" />
<parameter name="mmu_enabled" value="true" />
<parameter name="mmu_autoAssignTlbPtrSz" value="true" />
<parameter name="mmu_TLBMissExcSlave" value="onchip_memory2_0.s1" />
@@ -683,7 +683,7 @@
<parameter name="icache_size" value="_4096" />
<parameter name="icache_ramBlockType" value="Automatic" />
<parameter name="icache_numTCIM" value="_1" />
- <parameter name="icache_burstType" value="None" />
+ <parameter name="icache_burstType" value="Sequential" />
<parameter name="exceptionSlave" value="sdram_0.s1" />
<parameter name="exceptionOffset" value="32" />
<parameter name="debug_triggerArming" value="true" />
@@ -698,7 +698,7 @@
<parameter name="dcache_omitDataMaster" value="false" />
<parameter name="dcache_numTCDM" value="_1" />
<parameter name="dcache_lineSize" value="_32" />
- <parameter name="dcache_bursts" value="false" />
+ <parameter name="dcache_bursts" value="true" />
<parameter name="cpuReset" value="false" />
<parameter name="cpuID" value="0" />
<parameter name="breakSlave">cpu_0.jtag_debug_module</parameter>
diff --git a/quartus/dionysos_nios2mmu.sopcinfo b/quartus/dionysos_nios2mmu.sopcinfo
index bd6d973..25ffa87 100644
--- a/quartus/dionysos_nios2mmu.sopcinfo
+++ b/quartus/dionysos_nios2mmu.sopcinfo
@@ -4,7 +4,7 @@
kind="com_altera_sopcmodel_ensemble_Ensemble"
version="9.1">
<!-- Format version 9.1sp2 350 (Future versions may contain additional information.) -->
- <!-- 2012.03.08.09:04:47 -->
+ <!-- 2012.11.02.10:34:57 -->
<!-- A collection of modules and connections -->
<parameter name="deviceFamily">
<type>com.altera.entityinterfaces.moduleext.IDeviceFamily$EDeviceFamily</type>
@@ -48,7 +48,7 @@
</parameter>
<parameter name="systemHash">
<type>long</type>
- <value>-101819761844</value>
+ <value>-100124991612</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -56,7 +56,7 @@
</parameter>
<parameter name="timeStamp">
<type>long</type>
- <value>1331193876724</value>
+ <value>1351848799774</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -485,7 +485,7 @@ the requested settings for a module instance. -->
</assignment>
<assignment>
<name>embeddedsw.CMacro.PROCESS_ID_NUM_BITS</name>
- <value>10</value>
+ <value>14</value>
</assignment>
<assignment>
<name>embeddedsw.CMacro.TLB_NUM_WAYS</name>
@@ -945,7 +945,7 @@ the requested settings for a module instance. -->
</parameter>
<parameter name="mmu_processIDNumBits">
<type>com.altera.nios2.components.Nios2MMU$OptProcessIDNumBits</type>
- <value>_10</value>
+ <value>_14</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -1025,7 +1025,7 @@ the requested settings for a module instance. -->
</parameter>
<parameter name="icache_burstType">
<type>com.altera.nios2.components.Nios2ICache$OptBurstType</type>
- <value>None</value>
+ <value>Sequential</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -1145,7 +1145,7 @@ the requested settings for a module instance. -->
</parameter>
<parameter name="dcache_bursts">
<type>boolean</type>
- <value>false</value>
+ <value>true</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -1304,7 +1304,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="alwaysBurstMaxBurst">
<type>boolean</type>
- <value>false</value>
+ <value>true</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>false</visible>
@@ -1432,7 +1432,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="linewrapBursts">
<type>boolean</type>
- <value>false</value>
+ <value>true</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -1558,6 +1558,12 @@ parameters are a RESULT of the module parameters. -->
<width>1</width>
<role>waitrequest</role>
</port>
+ <port>
+ <name>i_burstcount</name>
+ <direction>Output</direction>
+ <width>4</width>
+ <role>burstcount</role>
+ </port>
<memoryBlock>
<isBridge>false</isBridge>
<moduleName>cpu_0</moduleName>
@@ -2201,6 +2207,12 @@ parameters are a RESULT of the module parameters. -->
<width>1</width>
<role>debugaccess</role>
</port>
+ <port>
+ <name>d_burstcount</name>
+ <direction>Output</direction>
+ <width>4</width>
+ <role>burstcount</role>
+ </port>
<memoryBlock>
<isBridge>false</isBridge>
<moduleName>cpu_0</moduleName>
@@ -15079,15 +15091,15 @@ parameters are a RESULT of the module parameters. -->
the requested settings for a module instance. -->
<assignment>
<name>embeddedsw.CMacro.ID</name>
- <value>888030435u</value>
+ <value>1340743850u</value>
</assignment>
<assignment>
<name>embeddedsw.CMacro.TIMESTAMP</name>
- <value>1331193877u</value>
+ <value>1351848800u</value>
</assignment>
<parameter name="id">
<type>long</type>
- <value>888030435</value>
+ <value>1340743850</value>
<derived>true</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -15095,7 +15107,7 @@ the requested settings for a module instance. -->
</parameter>
<parameter name="timestamp">
<type>long</type>
- <value>1331193877</value>
+ <value>1351848800</value>
<derived>true</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -21291,115 +21303,83 @@ parameters are a RESULT of the module parameters. -->
<endConnectionPoint>irq</endConnectionPoint>
</connection>
<plugin>
- <instanceCount>2</instanceCount>
- <name>nios_custom_instruction_slave</name>
+ <instanceCount>8</instanceCount>
+ <name>interrupt_sender</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Custom Instruction Slave</displayName>
+ <displayName>Interrupt Sender</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>1</instanceCount>
- <name>nios_custom_instruction_slave</name>
+ <instanceCount>3</instanceCount>
+ <name>altera_avalon_pio</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Custom Instruction Slave</displayName>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>PIO (Parallel I/O)</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>2</instanceCount>
- <name>avalon_slave</name>
- <type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Memory Mapped Slave</displayName>
- <version>9.1</version>
- </plugin>
- <plugin>
- <instanceCount>1</instanceCount>
- <name>clock_sink</name>
+ <name>avalon_streaming_sink</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Clock Input</displayName>
+ <displayName>Avalon Streaming Sink</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>7</instanceCount>
- <name>conduit</name>
- <type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Conduit Endpoint</displayName>
- <version>7.1</version>
- </plugin>
- <plugin>
<instanceCount>1</instanceCount>
- <name>interrupt_receiver</name>
+ <name>avalon_tristate_master</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Interrupt Receiver</displayName>
+ <displayName>Avalon Memory Mapped Tristate Master</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>3</instanceCount>
- <name>altera_avalon_pio</name>
+ <name>nios_custom_instruction</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>PIO (Parallel I/O)</displayName>
+ <subtype>com.altera.entityinterfaces.IConnection</subtype>
+ <displayName>Nios II Custom Instruction Connection</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>2</instanceCount>
- <name>altera_avalon_sgdma</name>
+ <instanceCount>1</instanceCount>
+ <name>altera_avalon_sysid</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Scatter-Gather DMA Controller</displayName>
+ <displayName>System ID Peripheral</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_new_sdram_controller</name>
+ <name>altera_avalon_epcs_flash_controller</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>SDRAM Controller</displayName>
+ <displayName>EPCS Serial Flash Controller</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>30</instanceCount>
- <name>avalon</name>
- <type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IConnection</subtype>
- <displayName>Avalon Memory Mapped Connection</displayName>
- <version>6.1</version>
- </plugin>
- <plugin>
<instanceCount>1</instanceCount>
- <name>conduit_end</name>
+ <name>nios_custom_instruction_slave</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Conduit</displayName>
- <version>9.1</version>
- </plugin>
- <plugin>
- <instanceCount>3</instanceCount>
- <name>nios_custom_instruction</name>
- <type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IConnection</subtype>
- <displayName>Nios II Custom Instruction Connection</displayName>
+ <displayName>Custom Instruction Slave</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>avalon_tristate</name>
+ <name>altera_nios2</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IConnection</subtype>
- <displayName>Avalon Memory Mapped Tristate Connection</displayName>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>Nios II Processor</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>1</instanceCount>
- <name>altera_nios2</name>
+ <instanceCount>10</instanceCount>
+ <name>interrupt</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Nios II Processor</displayName>
+ <subtype>com.altera.entityinterfaces.IConnection</subtype>
+ <displayName>Interrupt Connection</displayName>
<version>9.1</version>
</plugin>
<plugin>
@@ -21412,26 +21392,18 @@ parameters are a RESULT of the module parameters. -->
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_tri_state_bridge</name>
+ <name>altera_avalon_cfi_flash</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Avalon-MM Tristate Bridge</displayName>
+ <displayName>Flash Memory Interface (CFI)</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_jtag_uart</name>
+ <name>clock_source</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>JTAG UART</displayName>
- <version>9.1</version>
- </plugin>
- <plugin>
- <instanceCount>10</instanceCount>
- <name>avalon_master</name>
- <type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Memory Mapped Master</displayName>
+ <displayName>Clock Source</displayName>
<version>9.1</version>
</plugin>
<plugin>
@@ -21444,14 +21416,6 @@ parameters are a RESULT of the module parameters. -->
</plugin>
<plugin>
<instanceCount>2</instanceCount>
- <name>avalon_streaming_sink</name>
- <type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Streaming Sink</displayName>
- <version>9.1</version>
- </plugin>
- <plugin>
- <instanceCount>2</instanceCount>
<name>avalon_streaming</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IConnection</subtype>
@@ -21460,34 +21424,58 @@ parameters are a RESULT of the module parameters. -->
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_nios_custom_instr_endian_converter</name>
+ <name>altera_avalon_new_sdram_controller</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Endian Converter</displayName>
- <version>6.1</version>
+ <displayName>SDRAM Controller</displayName>
+ <version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_sysid</name>
+ <name>altera_avalon_tri_state_bridge</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>System ID Peripheral</displayName>
+ <displayName>Avalon-MM Tristate Bridge</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_uart</name>
+ <name>triple_speed_ethernet</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>UART (RS-232 Serial Port)</displayName>
+ <displayName>Triple-Speed Ethernet</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>8</instanceCount>
- <name>interrupt_sender</name>
+ <instanceCount>22</instanceCount>
+ <name>clock</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IConnection</subtype>
+ <displayName>Clock Connection</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>10</instanceCount>
+ <name>avalon_master</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Interrupt Sender</displayName>
+ <displayName>Avalon Memory Mapped Master</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>1</instanceCount>
+ <name>clock_sink</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Clock Input</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>1</instanceCount>
+ <name>nios_custom_instruction_master</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Custom Instruction Master</displayName>
<version>9.1</version>
</plugin>
<plugin>
@@ -21499,52 +21487,60 @@ parameters are a RESULT of the module parameters. -->
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>22</instanceCount>
- <name>clock_sink</name>
+ <instanceCount>2</instanceCount>
+ <name>nios_custom_instruction_slave</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Clock Input</displayName>
+ <displayName>Custom Instruction Slave</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>22</instanceCount>
- <name>clock</name>
+ <instanceCount>1</instanceCount>
+ <name>avalon_tristate</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IConnection</subtype>
- <displayName>Clock Connection</displayName>
+ <displayName>Avalon Memory Mapped Tristate Connection</displayName>
<version>9.1</version>
</plugin>
<plugin>
+ <instanceCount>30</instanceCount>
+ <name>avalon</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IConnection</subtype>
+ <displayName>Avalon Memory Mapped Connection</displayName>
+ <version>6.1</version>
+ </plugin>
+ <plugin>
<instanceCount>1</instanceCount>
- <name>clock_source</name>
+ <name>conduit_end</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Clock Output</displayName>
+ <displayName>Conduit</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>1</instanceCount>
- <name>nios_custom_instruction_master</name>
+ <instanceCount>2</instanceCount>
+ <name>avalon_slave</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Custom Instruction Master</displayName>
+ <displayName>Avalon Memory Mapped Slave</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>1</instanceCount>
- <name>triple_speed_ethernet</name>
+ <instanceCount>22</instanceCount>
+ <name>clock_sink</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Triple-Speed Ethernet</displayName>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Clock Input</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>ISP1362_CTRL</name>
+ <name>clock_source</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>ISP1362_CTRL</displayName>
- <version>1.0</version>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Clock Output</displayName>
+ <version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
@@ -21556,18 +21552,18 @@ parameters are a RESULT of the module parameters. -->
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_cfi_flash</name>
+ <name>altera_avalon_jtag_uart</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Flash Memory Interface (CFI)</displayName>
+ <displayName>JTAG UART</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>2</instanceCount>
- <name>altera_avalon_onchip_memory2</name>
+ <instanceCount>18</instanceCount>
+ <name>avalon_slave</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>On-Chip Memory (RAM or ROM)</displayName>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Avalon Memory Mapped Slave</displayName>
<version>9.1</version>
</plugin>
<plugin>
@@ -21579,53 +21575,69 @@ parameters are a RESULT of the module parameters. -->
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>18</instanceCount>
- <name>avalon_slave</name>
+ <instanceCount>2</instanceCount>
+ <name>altera_avalon_sgdma</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>Scatter-Gather DMA Controller</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>1</instanceCount>
+ <name>fls</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>fls</displayName>
+ <version>1.0</version>
+ </plugin>
+ <plugin>
+ <instanceCount>1</instanceCount>
+ <name>interrupt_receiver</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Memory Mapped Slave</displayName>
+ <displayName>Interrupt Receiver</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>clock_source</name>
+ <name>altera_avalon_uart</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Clock Source</displayName>
+ <displayName>UART (RS-232 Serial Port)</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>fls</name>
+ <name>ISP1362_CTRL</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>fls</displayName>
+ <displayName>ISP1362_CTRL</displayName>
<version>1.0</version>
</plugin>
<plugin>
- <instanceCount>10</instanceCount>
- <name>interrupt</name>
+ <instanceCount>1</instanceCount>
+ <name>altera_nios_custom_instr_endian_converter</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IConnection</subtype>
- <displayName>Interrupt Connection</displayName>
- <version>9.1</version>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>Endian Converter</displayName>
+ <version>6.1</version>
</plugin>
<plugin>
- <instanceCount>1</instanceCount>
- <name>avalon_tristate_master</name>
+ <instanceCount>7</instanceCount>
+ <name>conduit</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Memory Mapped Tristate Master</displayName>
- <version>9.1</version>
+ <displayName>Conduit Endpoint</displayName>
+ <version>7.1</version>
</plugin>
<plugin>
- <instanceCount>1</instanceCount>
- <name>altera_avalon_epcs_flash_controller</name>
+ <instanceCount>2</instanceCount>
+ <name>altera_avalon_onchip_memory2</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>EPCS Serial Flash Controller</displayName>
+ <displayName>On-Chip Memory (RAM or ROM)</displayName>
<version>9.1</version>
</plugin>
<reportVersion>9.1sp2 350</reportVersion>
- <uniqueIdentifier>0023AE674DE000000135F150E472</uniqueIdentifier>
+ <uniqueIdentifier>0023AE674DE00000013AC075999D</uniqueIdentifier>
</EnsembleReport>