summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
-rw-r--r--quartus/dionysos_nios2mmu.qsf2
-rw-r--r--quartus/dionysos_nios2mmu.sopc42
-rw-r--r--quartus/dionysos_nios2mmu.sopcinfo180
3 files changed, 116 insertions, 108 deletions
diff --git a/quartus/dionysos_nios2mmu.qsf b/quartus/dionysos_nios2mmu.qsf
index a51bd81..2aa3d7f 100644
--- a/quartus/dionysos_nios2mmu.qsf
+++ b/quartus/dionysos_nios2mmu.qsf
@@ -47,7 +47,7 @@ set_global_assignment -name DEVICE EP3C40F484C6
set_global_assignment -name TOP_LEVEL_ENTITY "dionysos_top"
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 9.1
set_global_assignment -name PROJECT_CREATION_TIME_DATE "17:15:51 FEBRUARY 22, 2010"
-set_global_assignment -name LAST_QUARTUS_VERSION 9.1
+set_global_assignment -name LAST_QUARTUS_VERSION "9.1 SP2"
set_global_assignment -name SMART_RECOMPILE ON
set_global_assignment -name NUM_PARALLEL_PROCESSORS ALL
diff --git a/quartus/dionysos_nios2mmu.sopc b/quartus/dionysos_nios2mmu.sopc
index 441628a..02ecb7e 100644
--- a/quartus/dionysos_nios2mmu.sopc
+++ b/quartus/dionysos_nios2mmu.sopc
@@ -6,7 +6,7 @@
{
datum baseAddress
{
- value = "41951296";
+ value = "41952320";
type = "long";
}
}
@@ -40,7 +40,7 @@
}
datum megawizard_uipreferences
{
- value = "{output_language=VHDL, output_directory=/home/tklauser/projects/dionysos_nios2mmu/quartus}";
+ value = "{}";
type = "String";
}
}
@@ -102,19 +102,27 @@
type = "String";
}
}
- element cfi_flash_0.s1
+ element onchip_memory2_0.s1
{
datum baseAddress
{
- value = "37748736";
+ value = "41951232";
type = "long";
}
}
- element onchip_memory2_0.s1
+ element uart_0.s1
+ {
+ datum baseAddress
+ {
+ value = "41952288";
+ type = "long";
+ }
+ }
+ element cfi_flash_0.s1
{
datum baseAddress
{
- value = "4096";
+ value = "37748736";
type = "long";
}
}
@@ -131,15 +139,15 @@
type = "long";
}
}
- element uart_0.s1
+ element timer_0.s1
{
datum baseAddress
{
- value = "41951264";
+ value = "41952256";
type = "long";
}
}
- element timer_0.s1
+ element onchip_memory2_0.s2
{
datum baseAddress
{
@@ -206,8 +214,8 @@
<parameter name="hardcopyCompatible" value="false" />
<parameter name="hdlLanguage" value="VHDL" />
<parameter name="projectName">dionysos_nios2mmu.qpf</parameter>
- <parameter name="systemHash" value="-19653124377" />
- <parameter name="timeStamp" value="1269614323453" />
+ <parameter name="systemHash" value="-23323565077" />
+ <parameter name="timeStamp" value="1276249234420" />
<module name="clk_0" kind="clock_source" version="9.1" enabled="1">
<parameter name="clockFrequency" value="50000000" />
<parameter name="clockFrequencyKnown" value="true" />
@@ -247,7 +255,7 @@
<parameter name="resetSlave" value="cfi_flash_0.s1" />
<parameter name="resetOffset" value="0" />
<parameter name="muldiv_multiplierType" value="EmbeddedMulFast" />
- <parameter name="muldiv_divider" value="false" />
+ <parameter name="muldiv_divider" value="true" />
<parameter name="mpu_useLimit" value="false" />
<parameter name="mpu_numOfInstRegion" value="8" />
<parameter name="mpu_numOfDataRegion" value="8" />
@@ -508,7 +516,7 @@
start="cpu_0.data_master"
end="timer_0.s1">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x02802000" />
+ <parameter name="baseAddress" value="0x02802400" />
</connection>
<connection kind="interrupt" version="9.1" start="cpu_0.d_irq" end="timer_0.irq">
<parameter name="irqNumber" value="0" />
@@ -516,7 +524,7 @@
<connection kind="clock" version="9.1" start="clk_0.clk" end="uart_0.clk" />
<connection kind="avalon" version="6.1" start="cpu_0.data_master" end="uart_0.s1">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x02802020" />
+ <parameter name="baseAddress" value="0x02802420" />
</connection>
<connection kind="interrupt" version="9.1" start="cpu_0.d_irq" end="uart_0.irq">
<parameter name="irqNumber" value="2" />
@@ -528,7 +536,7 @@
start="cpu_0.data_master"
end="jtag_uart_0.avalon_jtag_slave">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x02802040" />
+ <parameter name="baseAddress" value="0x02802440" />
</connection>
<connection
kind="interrupt"
@@ -553,7 +561,7 @@
start="cpu_0.tightly_coupled_instruction_master_0"
end="onchip_memory2_0.s1">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x1000" />
+ <parameter name="baseAddress" value="0x02802000" />
</connection>
<connection
kind="avalon"
@@ -561,6 +569,6 @@
start="cpu_0.tightly_coupled_data_master_0"
end="onchip_memory2_0.s2">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x0000" />
+ <parameter name="baseAddress" value="0x02802000" />
</connection>
</system>
diff --git a/quartus/dionysos_nios2mmu.sopcinfo b/quartus/dionysos_nios2mmu.sopcinfo
index 537f4d8..a44e48a 100644
--- a/quartus/dionysos_nios2mmu.sopcinfo
+++ b/quartus/dionysos_nios2mmu.sopcinfo
@@ -3,8 +3,8 @@
name="dionysos_nios2mmu"
kind="com_altera_sopcmodel_ensemble_Ensemble"
version="9.1">
- <!-- Format version 9.1 222 (Future versions may contain additional information.) -->
- <!-- 2010.03.26.16:16:00 -->
+ <!-- Format version 9.1sp2 350 (Future versions may contain additional information.) -->
+ <!-- 2010.06.11.11:40:41 -->
<!-- A collection of modules and connections -->
<parameter name="deviceFamily">
<type>com.altera.entityinterfaces.moduleext.IDeviceFamily$EDeviceFamily</type>
@@ -48,7 +48,7 @@
</parameter>
<parameter name="systemHash">
<type>long</type>
- <value>-19653124377</value>
+ <value>-23323565077</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -56,7 +56,7 @@
</parameter>
<parameter name="timeStamp">
<type>long</type>
- <value>1269616531099</value>
+ <value>1276249234420</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -433,7 +433,7 @@ the requested settings for a module instance. -->
</assignment>
<assignment>
<name>embeddedsw.CMacro.FAST_TLB_MISS_EXCEPTION_ADDR</name>
- <value>0xc0001000</value>
+ <value>0xc2802000</value>
</assignment>
<assignment>
<name>embeddedsw.configuration.mmu_TLBMissExcSlave</name>
@@ -485,7 +485,7 @@ the requested settings for a module instance. -->
</assignment>
<assignment>
<name>embeddedsw.CMacro.HARDWARE_DIVIDE_PRESENT</name>
- <value>0</value>
+ <value>1</value>
</assignment>
<assignment>
<name>embeddedsw.CMacro.HARDWARE_MULTIPLY_PRESENT</name>
@@ -559,7 +559,7 @@ the requested settings for a module instance. -->
<type>boolean</type>
<value>false</value>
<derived>false</derived>
- <enabled>false</enabled>
+ <enabled>true</enabled>
<visible>true</visible>
<valid>true</valid>
</parameter>
@@ -781,7 +781,7 @@ the requested settings for a module instance. -->
</parameter>
<parameter name="muldiv_divider">
<type>boolean</type>
- <value>false</value>
+ <value>true</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -1813,7 +1813,7 @@ parameters are a RESULT of the module parameters. -->
<moduleName>onchip_memory2_0</moduleName>
<slaveName>s1</slaveName>
<name>onchip_memory2_0.s1</name>
- <baseAddress>4096</baseAddress>
+ <baseAddress>41951232</baseAddress>
<span>1024</span>
</memoryBlock>
</interface>
@@ -2162,7 +2162,7 @@ parameters are a RESULT of the module parameters. -->
<moduleName>timer_0</moduleName>
<slaveName>s1</slaveName>
<name>timer_0.s1</name>
- <baseAddress>41951232</baseAddress>
+ <baseAddress>41952256</baseAddress>
<span>32</span>
</memoryBlock>
<memoryBlock>
@@ -2170,7 +2170,7 @@ parameters are a RESULT of the module parameters. -->
<moduleName>uart_0</moduleName>
<slaveName>s1</slaveName>
<name>uart_0.s1</name>
- <baseAddress>41951264</baseAddress>
+ <baseAddress>41952288</baseAddress>
<span>32</span>
</memoryBlock>
<memoryBlock>
@@ -2178,7 +2178,7 @@ parameters are a RESULT of the module parameters. -->
<moduleName>jtag_uart_0</moduleName>
<slaveName>avalon_jtag_slave</slaveName>
<name>jtag_uart_0.avalon_jtag_slave</name>
- <baseAddress>41951296</baseAddress>
+ <baseAddress>41952320</baseAddress>
<span>8</span>
</memoryBlock>
</interface>
@@ -2579,7 +2579,7 @@ parameters are a RESULT of the module parameters. -->
<moduleName>onchip_memory2_0</moduleName>
<slaveName>s2</slaveName>
<name>onchip_memory2_0.s2</name>
- <baseAddress>0</baseAddress>
+ <baseAddress>41951232</baseAddress>
<span>1024</span>
</memoryBlock>
</interface>
@@ -9183,7 +9183,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x02802000</value>
+ <value>0x02802400</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -9288,7 +9288,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x02802020</value>
+ <value>0x02802420</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -9393,7 +9393,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x02802040</value>
+ <value>0x02802440</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -9525,7 +9525,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x1000</value>
+ <value>0x02802000</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -9568,7 +9568,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x0000</value>
+ <value>0x02802000</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -9597,26 +9597,18 @@ parameters are a RESULT of the module parameters. -->
</connection>
<plugin>
<instanceCount>1</instanceCount>
- <name>nios_custom_instruction_master</name>
+ <name>clock_source</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Custom Instruction Master</displayName>
+ <displayName>Clock Output</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_nios2</name>
+ <name>altera_avalon_epcs_flash_controller</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Nios II Processor</displayName>
- <version>9.1</version>
- </plugin>
- <plugin>
- <instanceCount>4</instanceCount>
- <name>interrupt</name>
- <type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IConnection</subtype>
- <displayName>Interrupt Connection</displayName>
+ <displayName>EPCS Serial Flash Controller</displayName>
<version>9.1</version>
</plugin>
<plugin>
@@ -9629,50 +9621,50 @@ parameters are a RESULT of the module parameters. -->
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_tri_state_bridge</name>
+ <name>altera_avalon_new_sdram_controller</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Avalon-MM Tristate Bridge</displayName>
+ <displayName>SDRAM Controller</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>1</instanceCount>
- <name>altera_avalon_cfi_flash</name>
+ <instanceCount>3</instanceCount>
+ <name>conduit</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Flash Memory Interface (CFI)</displayName>
- <version>9.1</version>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Conduit Endpoint</displayName>
+ <version>7.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>clock_source</name>
+ <name>altera_avalon_jtag_uart</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Clock Source</displayName>
+ <displayName>JTAG UART</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>9</instanceCount>
- <name>avalon_slave</name>
+ <instanceCount>13</instanceCount>
+ <name>avalon</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Memory Mapped Slave</displayName>
- <version>9.1</version>
+ <subtype>com.altera.entityinterfaces.IConnection</subtype>
+ <displayName>Avalon Memory Mapped Connection</displayName>
+ <version>6.1</version>
</plugin>
<plugin>
- <instanceCount>1</instanceCount>
- <name>altera_avalon_epcs_flash_controller</name>
+ <instanceCount>11</instanceCount>
+ <name>clock_sink</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>EPCS Serial Flash Controller</displayName>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Clock Input</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>4</instanceCount>
- <name>avalon_master</name>
+ <instanceCount>1</instanceCount>
+ <name>interrupt_receiver</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Memory Mapped Master</displayName>
+ <displayName>Interrupt Receiver</displayName>
<version>9.1</version>
</plugin>
<plugin>
@@ -9685,42 +9677,42 @@ parameters are a RESULT of the module parameters. -->
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_uart</name>
+ <name>avalon_tristate_master</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>UART (RS-232 Serial Port)</displayName>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Avalon Memory Mapped Tristate Master</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_jtag_uart</name>
+ <name>altera_avalon_cfi_flash</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>JTAG UART</displayName>
+ <displayName>Flash Memory Interface (CFI)</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>1</instanceCount>
- <name>altera_avalon_timer</name>
+ <instanceCount>4</instanceCount>
+ <name>avalon_master</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Interval Timer</displayName>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Avalon Memory Mapped Master</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>11</instanceCount>
- <name>clock_sink</name>
+ <instanceCount>1</instanceCount>
+ <name>altera_nios2</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Clock Input</displayName>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>Nios II Processor</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>avalon_tristate_master</name>
+ <name>nios_custom_instruction_master</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Memory Mapped Tristate Master</displayName>
+ <displayName>Custom Instruction Master</displayName>
<version>9.1</version>
</plugin>
<plugin>
@@ -9732,61 +9724,69 @@ parameters are a RESULT of the module parameters. -->
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>4</instanceCount>
- <name>interrupt_sender</name>
+ <instanceCount>1</instanceCount>
+ <name>clock_source</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Interrupt Sender</displayName>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>Clock Source</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_onchip_memory2</name>
+ <name>altera_avalon_timer</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>On-Chip Memory (RAM or ROM)</displayName>
+ <displayName>Interval Timer</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>interrupt_receiver</name>
+ <name>altera_avalon_tri_state_bridge</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Interrupt Receiver</displayName>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>Avalon-MM Tristate Bridge</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>3</instanceCount>
- <name>conduit</name>
+ <instanceCount>9</instanceCount>
+ <name>avalon_slave</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Conduit Endpoint</displayName>
- <version>7.1</version>
+ <displayName>Avalon Memory Mapped Slave</displayName>
+ <version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>clock_source</name>
+ <name>altera_avalon_uart</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Clock Output</displayName>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>UART (RS-232 Serial Port)</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>13</instanceCount>
- <name>avalon</name>
+ <instanceCount>4</instanceCount>
+ <name>interrupt</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IConnection</subtype>
- <displayName>Avalon Memory Mapped Connection</displayName>
- <version>6.1</version>
+ <displayName>Interrupt Connection</displayName>
+ <version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_new_sdram_controller</name>
+ <name>altera_avalon_onchip_memory2</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>SDRAM Controller</displayName>
+ <displayName>On-Chip Memory (RAM or ROM)</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>4</instanceCount>
+ <name>interrupt_sender</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Interrupt Sender</displayName>
<version>9.1</version>
</plugin>
- <reportVersion>9.1 222</reportVersion>
- <uniqueIdentifier>001B213B645F000001279B0ACCA1</uniqueIdentifier>
+ <reportVersion>9.1sp2 350</reportVersion>
+ <uniqueIdentifier>0023AE674DE0000001292660CE4E</uniqueIdentifier>
</EnsembleReport>