summaryrefslogtreecommitdiff
path: root/quartus
diff options
context:
space:
mode:
Diffstat (limited to 'quartus')
-rw-r--r--quartus/dionysos_nios2mmu.qsf10
-rw-r--r--quartus/dionysos_nios2mmu.sopc324
-rw-r--r--quartus/dionysos_nios2mmu.sopcinfo3049
3 files changed, 3257 insertions, 126 deletions
diff --git a/quartus/dionysos_nios2mmu.qsf b/quartus/dionysos_nios2mmu.qsf
index 4e44174..23cdf35 100644
--- a/quartus/dionysos_nios2mmu.qsf
+++ b/quartus/dionysos_nios2mmu.qsf
@@ -434,6 +434,10 @@ set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
+
+
+set_global_assignment -name VHDL_FILE ../lib/misc/components/buf_sync.vhd
+set_global_assignment -name VHDL_FILE ../lib/misc/components/input_sync.vhd
set_global_assignment -name VHDL_FILE ../lib/ethernet/components/rmii_phy_to_mii_mac.vhd
set_global_assignment -name VHDL_FILE ../lib/misc/components/bibuf_async.vhd
set_global_assignment -name VHDL_FILE ../lib/misc/ines_vhdl_lib_base_pkg.vhd
@@ -444,9 +448,9 @@ set_global_assignment -name VHDL_FILE ../lib/ethernet/components/rmii_in_out.vhd
set_global_assignment -name QIP_FILE ../lib/altera/sinet_pll.qip
set_global_assignment -name VHDL_FILE ../lib/misc/components/reset_sync.vhd
set_global_assignment -name VHDL_FILE ../dionysos_top.vhd
-set_global_assignment -name QIP_FILE dionysos_nios2mmu.qip
set_global_assignment -name VHDL_FILE dionysos_nios2mmu.vhd
set_global_assignment -name SDC_FILE dionysos_nios2mmu.sdc
-
-
+set_global_assignment -name QIP_FILE dionysos_nios2mmu.qip
+set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS ON
+set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL ON
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file
diff --git a/quartus/dionysos_nios2mmu.sopc b/quartus/dionysos_nios2mmu.sopc
index 9d5005e..e99297b 100644
--- a/quartus/dionysos_nios2mmu.sopc
+++ b/quartus/dionysos_nios2mmu.sopc
@@ -9,6 +9,11 @@
value = "16";
type = "int";
}
+ datum sopceditor_expanded
+ {
+ value = "0";
+ type = "boolean";
+ }
}
element jtag_uart_0.avalon_jtag_slave
{
@@ -61,6 +66,11 @@
value = "{output_language=VHDL, output_directory=/home/tklauser/projects/dionysos_nios2mmu/quartus}";
type = "String";
}
+ datum sopceditor_expanded
+ {
+ value = "0";
+ type = "boolean";
+ }
}
element clk_0
{
@@ -109,6 +119,30 @@
type = "String";
}
}
+ element cpu_0_converter
+ {
+ datum _sortIndex
+ {
+ value = "18";
+ type = "int";
+ }
+ }
+ element cpu_0_ffs_inst
+ {
+ datum _sortIndex
+ {
+ value = "19";
+ type = "int";
+ }
+ }
+ element cpu_0_fls_inst
+ {
+ datum _sortIndex
+ {
+ value = "20";
+ type = "int";
+ }
+ }
element sgdma_tx.csr
{
datum _lockedAddress
@@ -147,6 +181,11 @@
value = "{}";
type = "String";
}
+ datum sopceditor_expanded
+ {
+ value = "0";
+ type = "boolean";
+ }
}
element dionysos_nios2mmu
{
@@ -176,6 +215,11 @@
value = "{}";
type = "String";
}
+ datum sopceditor_expanded
+ {
+ value = "0";
+ type = "boolean";
+ }
}
element cpu_0.jtag_debug_module
{
@@ -202,12 +246,17 @@
value = "{}";
type = "String";
}
+ datum sopceditor_expanded
+ {
+ value = "0";
+ type = "boolean";
+ }
}
element onchip_memory2_0
{
datum _sortIndex
{
- value = "3";
+ value = "2";
type = "int";
}
datum megawizard_uipreferences
@@ -215,8 +264,52 @@
value = "{output_language=VHDL, output_directory=/home/tklauser/projects/dionysos_nios2mmu/quartus}";
type = "String";
}
+ datum sopceditor_expanded
+ {
+ value = "0";
+ type = "boolean";
+ }
}
- element onchip_memory2_0.s1
+ element pio_dipswitch
+ {
+ datum _sortIndex
+ {
+ value = "22";
+ type = "int";
+ }
+ datum megawizard_uipreferences
+ {
+ value = "{}";
+ type = "String";
+ }
+ }
+ element pio_leds_board
+ {
+ datum _sortIndex
+ {
+ value = "21";
+ type = "int";
+ }
+ datum megawizard_uipreferences
+ {
+ value = "{}";
+ type = "String";
+ }
+ }
+ element pio_leds_front
+ {
+ datum _sortIndex
+ {
+ value = "17";
+ type = "int";
+ }
+ datum megawizard_uipreferences
+ {
+ value = "{output_language=VHDL, output_directory=/home/tklauser/projects/dionysos_nios2mmu/quartus}";
+ type = "String";
+ }
+ }
+ element pio_leds_front.s1
{
datum _lockedAddress
{
@@ -225,7 +318,20 @@
}
datum baseAddress
{
- value = "75505664";
+ value = "71303200";
+ type = "long";
+ }
+ }
+ element descriptor_memory.s1
+ {
+ datum _lockedAddress
+ {
+ value = "1";
+ type = "boolean";
+ }
+ datum baseAddress
+ {
+ value = "75759616";
type = "long";
}
}
@@ -242,7 +348,7 @@
type = "long";
}
}
- element timer_0.s1
+ element pio_dipswitch.s1
{
datum _lockedAddress
{
@@ -251,7 +357,20 @@
}
datum baseAddress
{
- value = "71303168";
+ value = "71303264";
+ type = "long";
+ }
+ }
+ element pio_leds_board.s1
+ {
+ datum _lockedAddress
+ {
+ value = "1";
+ type = "boolean";
+ }
+ datum baseAddress
+ {
+ value = "71303232";
type = "long";
}
}
@@ -281,7 +400,7 @@
type = "long";
}
}
- element descriptor_memory.s1
+ element cfi_flash_0.s1
{
datum _lockedAddress
{
@@ -290,11 +409,11 @@
}
datum baseAddress
{
- value = "75759616";
+ value = "67108864";
type = "long";
}
}
- element cfi_flash_0.s1
+ element onchip_memory2_0.s1
{
datum _lockedAddress
{
@@ -303,7 +422,20 @@
}
datum baseAddress
{
- value = "67108864";
+ value = "75505664";
+ type = "long";
+ }
+ }
+ element timer_0.s1
+ {
+ datum _lockedAddress
+ {
+ value = "1";
+ type = "boolean";
+ }
+ datum baseAddress
+ {
+ value = "71303168";
type = "long";
}
}
@@ -324,7 +456,7 @@
{
datum _sortIndex
{
- value = "4";
+ value = "3";
type = "int";
}
datum megawizard_uipreferences
@@ -332,6 +464,11 @@
value = "{}";
type = "String";
}
+ datum sopceditor_expanded
+ {
+ value = "0";
+ type = "boolean";
+ }
}
element sgdma_rx
{
@@ -345,6 +482,11 @@
value = "{output_language=VHDL, output_directory=/home/tklauser/projects/dionysos_nios2mmu/quartus}";
type = "String";
}
+ datum sopceditor_expanded
+ {
+ value = "0";
+ type = "boolean";
+ }
}
element sgdma_tx
{
@@ -358,12 +500,17 @@
value = "{output_language=VHDL, output_directory=/home/tklauser/projects/dionysos_nios2mmu/quartus}";
type = "String";
}
+ datum sopceditor_expanded
+ {
+ value = "0";
+ type = "boolean";
+ }
}
element sysid
{
datum _sortIndex
{
- value = "2";
+ value = "4";
type = "int";
}
datum megawizard_uipreferences
@@ -371,6 +518,11 @@
value = "{}";
type = "String";
}
+ datum sopceditor_expanded
+ {
+ value = "0";
+ type = "boolean";
+ }
}
element timer_0
{
@@ -384,6 +536,11 @@
value = "{}";
type = "String";
}
+ datum sopceditor_expanded
+ {
+ value = "0";
+ type = "boolean";
+ }
}
element tri_state_bridge_0
{
@@ -397,6 +554,11 @@
value = "{}";
type = "String";
}
+ datum sopceditor_expanded
+ {
+ value = "0";
+ type = "boolean";
+ }
}
element tse_mac
{
@@ -410,6 +572,11 @@
value = "{output_language=VHDL, output_directory=/home/tklauser/projects/dionysos_nios2mmu/quartus}";
type = "String";
}
+ datum sopceditor_expanded
+ {
+ value = "0";
+ type = "boolean";
+ }
}
element uart_0
{
@@ -423,6 +590,11 @@
value = "{output_language=VHDL, output_directory=/home/tklauser/projects/dionysos_nios2mmu/quartus}";
type = "String";
}
+ datum sopceditor_expanded
+ {
+ value = "0";
+ type = "boolean";
+ }
}
element watchdog_timer
{
@@ -436,6 +608,11 @@
value = "{}";
type = "String";
}
+ datum sopceditor_expanded
+ {
+ value = "0";
+ type = "boolean";
+ }
}
}
]]></parameter>
@@ -444,8 +621,8 @@
<parameter name="hardcopyCompatible" value="false" />
<parameter name="hdlLanguage" value="VHDL" />
<parameter name="projectName">dionysos_nios2mmu.qpf</parameter>
- <parameter name="systemHash" value="-88262254885" />
- <parameter name="timeStamp" value="1308843850996" />
+ <parameter name="systemHash" value="-101819761844" />
+ <parameter name="timeStamp" value="1331195628538" />
<module name="clk_0" kind="clock_source" version="9.1" enabled="1">
<parameter name="clockFrequency" value="50000000" />
<parameter name="clockFrequencyKnown" value="true" />
@@ -457,7 +634,7 @@
<parameter name="setting_shadowRegisterSets" value="0" />
<parameter name="setting_preciseSlaveAccessErrorException" value="false" />
<parameter name="setting_preciseIllegalMemAccessException" value="false" />
- <parameter name="setting_preciseDivisionErrorException" value="false" />
+ <parameter name="setting_preciseDivisionErrorException" value="true" />
<parameter name="setting_performanceCounter" value="false" />
<parameter name="setting_perfCounterWidth" value="_32" />
<parameter name="setting_interruptControllerType" value="Internal" />
@@ -781,6 +958,64 @@
</module>
<module name="sysid" kind="altera_avalon_sysid" version="9.1" enabled="1" />
<module name="ISP1362" kind="ISP1362_CTRL" version="1.0" enabled="1" />
+ <module
+ name="pio_leds_front"
+ kind="altera_avalon_pio"
+ version="9.1"
+ enabled="1">
+ <parameter name="bitClearingEdgeCapReg" value="false" />
+ <parameter name="bitModifyingOutReg" value="true" />
+ <parameter name="captureEdge" value="false" />
+ <parameter name="direction" value="Output" />
+ <parameter name="edgeType" value="RISING" />
+ <parameter name="generateIRQ" value="false" />
+ <parameter name="irqType" value="LEVEL" />
+ <parameter name="resetValue" value="0" />
+ <parameter name="simDoTestBenchWiring" value="false" />
+ <parameter name="simDrivenValue" value="0" />
+ <parameter name="width" value="16" />
+ </module>
+ <module
+ name="cpu_0_converter"
+ kind="altera_nios_custom_instr_endian_converter"
+ version="6.1"
+ enabled="1" />
+ <module name="cpu_0_ffs_inst" kind="ffs" version="1.0" enabled="1" />
+ <module name="cpu_0_fls_inst" kind="fls" version="1.0" enabled="1" />
+ <module
+ name="pio_leds_board"
+ kind="altera_avalon_pio"
+ version="9.1"
+ enabled="1">
+ <parameter name="bitClearingEdgeCapReg" value="false" />
+ <parameter name="bitModifyingOutReg" value="true" />
+ <parameter name="captureEdge" value="false" />
+ <parameter name="direction" value="Output" />
+ <parameter name="edgeType" value="RISING" />
+ <parameter name="generateIRQ" value="false" />
+ <parameter name="irqType" value="LEVEL" />
+ <parameter name="resetValue" value="0" />
+ <parameter name="simDoTestBenchWiring" value="false" />
+ <parameter name="simDrivenValue" value="0" />
+ <parameter name="width" value="4" />
+ </module>
+ <module
+ name="pio_dipswitch"
+ kind="altera_avalon_pio"
+ version="9.1"
+ enabled="1">
+ <parameter name="bitClearingEdgeCapReg" value="true" />
+ <parameter name="bitModifyingOutReg" value="false" />
+ <parameter name="captureEdge" value="true" />
+ <parameter name="direction" value="Input" />
+ <parameter name="edgeType" value="RISING" />
+ <parameter name="generateIRQ" value="true" />
+ <parameter name="irqType" value="EDGE" />
+ <parameter name="resetValue" value="0" />
+ <parameter name="simDoTestBenchWiring" value="false" />
+ <parameter name="simDrivenValue" value="0" />
+ <parameter name="width" value="4" />
+ </module>
<connection kind="clock" version="9.1" start="clk_0.clk" end="cpu_0.clk" />
<connection
kind="avalon"
@@ -1113,4 +1348,65 @@
end="ISP1362.interrupt_sender_0">
<parameter name="irqNumber" value="7" />
</connection>
+ <connection kind="clock" version="9.1" start="clk_0.clk" end="pio_leds_front.clk" />
+ <connection
+ kind="avalon"
+ version="6.1"
+ start="cpu_0.data_master"
+ end="pio_leds_front.s1">
+ <parameter name="arbitrationPriority" value="1" />
+ <parameter name="baseAddress" value="0x04400020" />
+ </connection>
+ <connection
+ kind="nios_custom_instruction"
+ version="9.1"
+ start="cpu_0.custom_instruction_master"
+ end="cpu_0_converter.s1">
+ <parameter name="CIName" value="converter" />
+ <parameter name="arbitrationPriority" value="1" />
+ <parameter name="baseAddress" value="0" />
+ </connection>
+ <connection
+ kind="nios_custom_instruction"
+ version="9.1"
+ start="cpu_0.custom_instruction_master"
+ end="cpu_0_ffs_inst.nios_custom_instruction_slave_0">
+ <parameter name="CIName" value="ffs_inst" />
+ <parameter name="arbitrationPriority" value="1" />
+ <parameter name="baseAddress" value="1" />
+ </connection>
+ <connection
+ kind="nios_custom_instruction"
+ version="9.1"
+ start="cpu_0.custom_instruction_master"
+ end="cpu_0_fls_inst.nios_custom_instruction_slave_0">
+ <parameter name="CIName" value="fls_inst" />
+ <parameter name="arbitrationPriority" value="1" />
+ <parameter name="baseAddress" value="2" />
+ </connection>
+ <connection kind="clock" version="9.1" start="clk_0.clk" end="pio_leds_board.clk" />
+ <connection
+ kind="avalon"
+ version="6.1"
+ start="cpu_0.data_master"
+ end="pio_leds_board.s1">
+ <parameter name="arbitrationPriority" value="1" />
+ <parameter name="baseAddress" value="0x04400040" />
+ </connection>
+ <connection kind="clock" version="9.1" start="clk_0.clk" end="pio_dipswitch.clk" />
+ <connection
+ kind="avalon"
+ version="6.1"
+ start="cpu_0.data_master"
+ end="pio_dipswitch.s1">
+ <parameter name="arbitrationPriority" value="1" />
+ <parameter name="baseAddress" value="0x04400060" />
+ </connection>
+ <connection
+ kind="interrupt"
+ version="9.1"
+ start="cpu_0.d_irq"
+ end="pio_dipswitch.irq">
+ <parameter name="irqNumber" value="9" />
+ </connection>
</system>
diff --git a/quartus/dionysos_nios2mmu.sopcinfo b/quartus/dionysos_nios2mmu.sopcinfo
index b036bb4..bd6d973 100644
--- a/quartus/dionysos_nios2mmu.sopcinfo
+++ b/quartus/dionysos_nios2mmu.sopcinfo
@@ -4,7 +4,7 @@
kind="com_altera_sopcmodel_ensemble_Ensemble"
version="9.1">
<!-- Format version 9.1sp2 350 (Future versions may contain additional information.) -->
- <!-- 2011.06.23.17:44:21 -->
+ <!-- 2012.03.08.09:04:47 -->
<!-- A collection of modules and connections -->
<parameter name="deviceFamily">
<type>com.altera.entityinterfaces.moduleext.IDeviceFamily$EDeviceFamily</type>
@@ -48,7 +48,7 @@
</parameter>
<parameter name="systemHash">
<type>long</type>
- <value>-88262254885</value>
+ <value>-101819761844</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -56,7 +56,7 @@
</parameter>
<parameter name="timeStamp">
<type>long</type>
- <value>1308843850996</value>
+ <value>1331193876724</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -293,6 +293,24 @@ parameters are a RESULT of the module parameters. -->
<slaveName>clock_reset</slaveName>
<name>ISP1362.clock_reset</name>
</clockDomainMember>
+ <clockDomainMember>
+ <isBridge>false</isBridge>
+ <moduleName>pio_leds_front</moduleName>
+ <slaveName>clk</slaveName>
+ <name>pio_leds_front.clk</name>
+ </clockDomainMember>
+ <clockDomainMember>
+ <isBridge>false</isBridge>
+ <moduleName>pio_leds_board</moduleName>
+ <slaveName>clk</slaveName>
+ <name>pio_leds_board.clk</name>
+ </clockDomainMember>
+ <clockDomainMember>
+ <isBridge>false</isBridge>
+ <moduleName>pio_dipswitch</moduleName>
+ <slaveName>clk</slaveName>
+ <name>pio_dipswitch.clk</name>
+ </clockDomainMember>
</interface>
<interface name="clk_in" kind="clock_sink" version="9.1">
<!-- The connection points exposed by a module instance for the
@@ -526,6 +544,10 @@ the requested settings for a module instance. -->
<value></value>
</assignment>
<assignment>
+ <name>embeddedsw.CMacro.HAS_DIVISION_ERROR_EXCEPTION</name>
+ <value></value>
+ </assignment>
+ <assignment>
<name>embeddedsw.CMacro.HAS_EXTRA_EXCEPTION_INFO</name>
<value></value>
</assignment>
@@ -611,7 +633,7 @@ the requested settings for a module instance. -->
</parameter>
<parameter name="setting_preciseDivisionErrorException">
<type>boolean</type>
- <value>false</value>
+ <value>true</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -2299,6 +2321,30 @@ parameters are a RESULT of the module parameters. -->
<baseAddress>136445984</baseAddress>
<span>4</span>
</memoryBlock>
+ <memoryBlock>
+ <isBridge>false</isBridge>
+ <moduleName>pio_leds_front</moduleName>
+ <slaveName>s1</slaveName>
+ <name>pio_leds_front.s1</name>
+ <baseAddress>71303200</baseAddress>
+ <span>32</span>
+ </memoryBlock>
+ <memoryBlock>
+ <isBridge>false</isBridge>
+ <moduleName>pio_leds_board</moduleName>
+ <slaveName>s1</slaveName>
+ <name>pio_leds_board.s1</name>
+ <baseAddress>71303232</baseAddress>
+ <span>32</span>
+ </memoryBlock>
+ <memoryBlock>
+ <isBridge>false</isBridge>
+ <moduleName>pio_dipswitch</moduleName>
+ <slaveName>s1</slaveName>
+ <name>pio_dipswitch.s1</name>
+ <baseAddress>71303264</baseAddress>
+ <span>16</span>
+ </memoryBlock>
</interface>
<interface name="d_irq" kind="interrupt_receiver" version="9.1">
<!-- The connection points exposed by a module instance for the
@@ -2415,6 +2461,13 @@ parameters are a RESULT of the module parameters. -->
<name>ISP1362.interrupt_sender_0</name>
<interruptNumber>7</interruptNumber>
</interrupt>
+ <interrupt>
+ <isBridge>false</isBridge>
+ <moduleName>pio_dipswitch</moduleName>
+ <slaveName>irq</slaveName>
+ <name>pio_dipswitch.irq</name>
+ <interruptNumber>9</interruptNumber>
+ </interrupt>
</interface>
<interface
name="tightly_coupled_data_master_0"
@@ -3126,7 +3179,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="enabled">
<type>boolean</type>
- <value>false</value>
+ <value>true</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>false</visible>
@@ -3250,6 +3303,30 @@ parameters are a RESULT of the module parameters. -->
<width>1</width>
<role>writerc</role>
</port>
+ <customInstruction>
+ <isBridge>false</isBridge>
+ <moduleName>cpu_0_converter</moduleName>
+ <slaveName>s1</slaveName>
+ <name>cpu_0_converter.s1</name>
+ <opcodeNumber>0</opcodeNumber>
+ <opcodeMnemonic>converter</opcodeMnemonic>
+ </customInstruction>
+ <customInstruction>
+ <isBridge>false</isBridge>
+ <moduleName>cpu_0_ffs_inst</moduleName>
+ <slaveName>nios_custom_instruction_slave_0</slaveName>
+ <name>cpu_0_ffs_inst.nios_custom_instruction_slave_0</name>
+ <opcodeNumber>1</opcodeNumber>
+ <opcodeMnemonic>ffs_inst</opcodeMnemonic>
+ </customInstruction>
+ <customInstruction>
+ <isBridge>false</isBridge>
+ <moduleName>cpu_0_fls_inst</moduleName>
+ <slaveName>nios_custom_instruction_slave_0</slaveName>
+ <name>cpu_0_fls_inst.nios_custom_instruction_slave_0</name>
+ <opcodeNumber>2</opcodeNumber>
+ <opcodeMnemonic>fls_inst</opcodeMnemonic>
+ </customInstruction>
</interface>
</module>
<module
@@ -15002,15 +15079,15 @@ parameters are a RESULT of the module parameters. -->
the requested settings for a module instance. -->
<assignment>
<name>embeddedsw.CMacro.ID</name>
- <value>215425358u</value>
+ <value>888030435u</value>
</assignment>
<assignment>
<name>embeddedsw.CMacro.TIMESTAMP</name>
- <value>1308843851u</value>
+ <value>1331193877u</value>
</assignment>
<parameter name="id">
<type>long</type>
- <value>215425358</value>
+ <value>888030435</value>
<derived>true</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -15018,7 +15095,7 @@ the requested settings for a module instance. -->
</parameter>
<parameter name="timestamp">
<type>long</type>
- <value>1308843851</value>
+ <value>1331193877</value>
<derived>true</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -16429,6 +16506,2258 @@ parameters are a RESULT of the module parameters. -->
</port>
</interface>
</module>
+ <module name="pio_leds_front" kind="altera_avalon_pio" version="9.1">
+ <!-- Describes a single module. Module parameters are
+the requested settings for a module instance. -->
+ <assignment>
+ <name>embeddedsw.CMacro.DO_TEST_BENCH_WIRING</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.DRIVEN_SIM_VALUE</name>
+ <value>0x0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.HAS_TRI</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.HAS_OUT</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.HAS_IN</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.CAPTURE</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.DATA_WIDTH</name>
+ <value>16</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.RESET_VALUE</name>
+ <value>0x0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.EDGE_TYPE</name>
+ <value>"NONE"</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.IRQ_TYPE</name>
+ <value>"NONE"</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.FREQ</name>
+ <value>50000000u</value>
+ </assignment>
+ <parameter name="bitClearingEdgeCapReg">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bitModifyingOutReg">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="captureEdge">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockRate">
+ <type>long</type>
+ <value>50000000</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="direction">
+ <type>com.altera.sopcmodel.components.avalon.AlteraAvalonPIO.AlteraAvalonPIO$Direction</type>
+ <value>Output</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="edgeType">
+ <type>com.altera.sopcmodel.components.avalon.AlteraAvalonPIO.AlteraAvalonPIO$EdgeType</type>
+ <value>RISING</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateIRQ">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="irqType">
+ <type>com.altera.sopcmodel.components.avalon.AlteraAvalonPIO.AlteraAvalonPIO$IrqType</type>
+ <value>LEVEL</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="resetValue">
+ <type>long</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="simDoTestBenchWiring">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="simDrivenValue">
+ <type>long</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="width">
+ <type>int</type>
+ <value>16</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <interface name="clk" kind="clock_sink" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="clockRate">
+ <type>java.lang.Long</type>
+ <value>50000000</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockRateKnown">
+ <type>java.lang.Boolean</type>
+ <value>true</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="externallyDriven">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="ptfSchematicName">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>clock</type>
+ <isStart>false</isStart>
+ <port>
+ <name>clk</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>clk</role>
+ </port>
+ <port>
+ <name>reset_n</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>reset_n</role>
+ </port>
+ </interface>
+ <interface name="s1" kind="avalon_slave" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <assignment>
+ <name>embeddedsw.configuration.isFlash</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.isMemoryDevice</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.isNonVolatileStorage</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.isPrintableDevice</name>
+ <value>0</value>
+ </assignment>
+ <parameter name="addressAlignment">
+ <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
+ <value>NATIVE</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressGroup">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressSpan">
+ <type>long</type>
+ <value>8</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="alwaysBurstMaxBurst">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedClock">
+ <type>java.lang.String</type>
+ <value>clk</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bitsPerSymbol">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bridgesToMaster">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstOnBurstBoundariesOnly">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstcountUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="constantBurstBehavior">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="explicitAddressSpan">
+ <type>long</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="holdTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="interleaveBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isFlash">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isMemoryDevice">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isNonVolatileStorage">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="linewrapBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maximumPendingReadTransactions">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="minimumUninterruptedRunLength">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="printableDevice">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitStates">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitTime">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerIncomingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerOutgoingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setupTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timingUnits">
+ <type>com.altera.sopcmodel.avalon.TimingUnits</type>
+ <value>Cycles</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="transparentBridge">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="wellBehavedWaitrequest">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitStates">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>avalon</type>
+ <isStart>false</isStart>
+ <port>
+ <name>address</name>
+ <direction>Input</direction>
+ <width>3</width>
+ <role>address</role>
+ </port>
+ <port>
+ <name>write_n</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>write_n</role>
+ </port>
+ <port>
+ <name>writedata</name>
+ <direction>Input</direction>
+ <width>16</width>
+ <role>writedata</role>
+ </port>
+ <port>
+ <name>chipselect</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>chipselect</role>
+ </port>
+ </interface>
+ <interface name="external_connection" kind="conduit" version="7.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>conduit</type>
+ <isStart>false</isStart>
+ <port>
+ <name>out_port</name>
+ <direction>Output</direction>
+ <width>16</width>
+ <role>export</role>
+ </port>
+ </interface>
+ </module>
+ <module
+ name="cpu_0_converter"
+ kind="altera_nios_custom_instr_endian_converter"
+ version="6.1">
+ <!-- Describes a single module. Module parameters are
+the requested settings for a module instance. -->
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <interface name="s1" kind="nios_custom_instruction_slave" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="CIName">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="NPort">
+ <type>int</type>
+ <value>0</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockCycle">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockCycleType">
+ <type>com.altera.sopcmodel.custominstruction.CustomInstruction$ClockCycleType</type>
+ <value>COMBINATORIAL</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="opcodeExtension">
+ <type>int</type>
+ <value>0</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="opcodeExtensionLocked">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="opcodeExtensionLockedValue">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="operands">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>nios_custom_instruction</type>
+ <isStart>false</isStart>
+ <port>
+ <name>dataa</name>
+ <direction>Input</direction>
+ <width>32</width>
+ <role>dataa</role>
+ </port>
+ <port>
+ <name>datab</name>
+ <direction>Input</direction>
+ <width>32</width>
+ <role>datab</role>
+ </port>
+ <port>
+ <name>result</name>
+ <direction>Output</direction>
+ <width>32</width>
+ <role>result</role>
+ </port>
+ </interface>
+ </module>
+ <module name="cpu_0_ffs_inst" kind="ffs" version="1.0">
+ <!-- Describes a single module. Module parameters are
+the requested settings for a module instance. -->
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <interface
+ name="nios_custom_instruction_slave_0"
+ kind="nios_custom_instruction_slave"
+ version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="CIName">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="NPort">
+ <type>int</type>
+ <value>0</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockCycle">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockCycleType">
+ <type>com.altera.sopcmodel.custominstruction.CustomInstruction$ClockCycleType</type>
+ <value>COMBINATORIAL</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="opcodeExtension">
+ <type>int</type>
+ <value>0</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="opcodeExtensionLocked">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="opcodeExtensionLockedValue">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="operands">
+ <type>int</type>
+ <value>2</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>nios_custom_instruction</type>
+ <isStart>false</isStart>
+ <port>
+ <name>dataa</name>
+ <direction>Input</direction>
+ <width>32</width>
+ <role>dataa</role>
+ </port>
+ <port>
+ <name>result</name>
+ <direction>Output</direction>
+ <width>32</width>
+ <role>result</role>
+ </port>
+ </interface>
+ </module>
+ <module name="cpu_0_fls_inst" kind="fls" version="1.0">
+ <!-- Describes a single module. Module parameters are
+the requested settings for a module instance. -->
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <interface
+ name="nios_custom_instruction_slave_0"
+ kind="nios_custom_instruction_slave"
+ version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="CIName">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="NPort">
+ <type>int</type>
+ <value>0</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockCycle">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockCycleType">
+ <type>com.altera.sopcmodel.custominstruction.CustomInstruction$ClockCycleType</type>
+ <value>COMBINATORIAL</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="opcodeExtension">
+ <type>int</type>
+ <value>0</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="opcodeExtensionLocked">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="opcodeExtensionLockedValue">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="operands">
+ <type>int</type>
+ <value>2</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>nios_custom_instruction</type>
+ <isStart>false</isStart>
+ <port>
+ <name>dataa</name>
+ <direction>Input</direction>
+ <width>32</width>
+ <role>dataa</role>
+ </port>
+ <port>
+ <name>result</name>
+ <direction>Output</direction>
+ <width>32</width>
+ <role>result</role>
+ </port>
+ </interface>
+ </module>
+ <module name="pio_leds_board" kind="altera_avalon_pio" version="9.1">
+ <!-- Describes a single module. Module parameters are
+the requested settings for a module instance. -->
+ <assignment>
+ <name>embeddedsw.CMacro.DO_TEST_BENCH_WIRING</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.DRIVEN_SIM_VALUE</name>
+ <value>0x0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.HAS_TRI</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.HAS_OUT</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.HAS_IN</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.CAPTURE</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.DATA_WIDTH</name>
+ <value>4</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.RESET_VALUE</name>
+ <value>0x0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.EDGE_TYPE</name>
+ <value>"NONE"</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.IRQ_TYPE</name>
+ <value>"NONE"</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.FREQ</name>
+ <value>50000000u</value>
+ </assignment>
+ <parameter name="bitClearingEdgeCapReg">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bitModifyingOutReg">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="captureEdge">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockRate">
+ <type>long</type>
+ <value>50000000</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="direction">
+ <type>com.altera.sopcmodel.components.avalon.AlteraAvalonPIO.AlteraAvalonPIO$Direction</type>
+ <value>Output</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="edgeType">
+ <type>com.altera.sopcmodel.components.avalon.AlteraAvalonPIO.AlteraAvalonPIO$EdgeType</type>
+ <value>RISING</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateIRQ">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="irqType">
+ <type>com.altera.sopcmodel.components.avalon.AlteraAvalonPIO.AlteraAvalonPIO$IrqType</type>
+ <value>LEVEL</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="resetValue">
+ <type>long</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="simDoTestBenchWiring">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="simDrivenValue">
+ <type>long</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="width">
+ <type>int</type>
+ <value>4</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <interface name="clk" kind="clock_sink" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="clockRate">
+ <type>java.lang.Long</type>
+ <value>50000000</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockRateKnown">
+ <type>java.lang.Boolean</type>
+ <value>true</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="externallyDriven">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="ptfSchematicName">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>clock</type>
+ <isStart>false</isStart>
+ <port>
+ <name>clk</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>clk</role>
+ </port>
+ <port>
+ <name>reset_n</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>reset_n</role>
+ </port>
+ </interface>
+ <interface name="s1" kind="avalon_slave" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <assignment>
+ <name>embeddedsw.configuration.isFlash</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.isMemoryDevice</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.isNonVolatileStorage</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.isPrintableDevice</name>
+ <value>0</value>
+ </assignment>
+ <parameter name="addressAlignment">
+ <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
+ <value>NATIVE</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressGroup">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressSpan">
+ <type>long</type>
+ <value>8</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="alwaysBurstMaxBurst">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedClock">
+ <type>java.lang.String</type>
+ <value>clk</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bitsPerSymbol">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bridgesToMaster">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstOnBurstBoundariesOnly">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstcountUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="constantBurstBehavior">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="explicitAddressSpan">
+ <type>long</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="holdTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="interleaveBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isFlash">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isMemoryDevice">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isNonVolatileStorage">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="linewrapBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maximumPendingReadTransactions">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="minimumUninterruptedRunLength">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="printableDevice">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitStates">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitTime">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerIncomingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerOutgoingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setupTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timingUnits">
+ <type>com.altera.sopcmodel.avalon.TimingUnits</type>
+ <value>Cycles</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="transparentBridge">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="wellBehavedWaitrequest">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitStates">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>avalon</type>
+ <isStart>false</isStart>
+ <port>
+ <name>address</name>
+ <direction>Input</direction>
+ <width>3</width>
+ <role>address</role>
+ </port>
+ <port>
+ <name>write_n</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>write_n</role>
+ </port>
+ <port>
+ <name>writedata</name>
+ <direction>Input</direction>
+ <width>4</width>
+ <role>writedata</role>
+ </port>
+ <port>
+ <name>chipselect</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>chipselect</role>
+ </port>
+ </interface>
+ <interface name="external_connection" kind="conduit" version="7.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>conduit</type>
+ <isStart>false</isStart>
+ <port>
+ <name>out_port</name>
+ <direction>Output</direction>
+ <width>4</width>
+ <role>export</role>
+ </port>
+ </interface>
+ </module>
+ <module name="pio_dipswitch" kind="altera_avalon_pio" version="9.1">
+ <!-- Describes a single module. Module parameters are
+the requested settings for a module instance. -->
+ <assignment>
+ <name>embeddedsw.CMacro.DO_TEST_BENCH_WIRING</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.DRIVEN_SIM_VALUE</name>
+ <value>0x0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.HAS_TRI</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.HAS_OUT</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.HAS_IN</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.CAPTURE</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.DATA_WIDTH</name>
+ <value>4</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.RESET_VALUE</name>
+ <value>0x0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.EDGE_TYPE</name>
+ <value>"RISING"</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.IRQ_TYPE</name>
+ <value>"EDGE"</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.FREQ</name>
+ <value>50000000u</value>
+ </assignment>
+ <parameter name="bitClearingEdgeCapReg">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bitModifyingOutReg">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="captureEdge">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockRate">
+ <type>long</type>
+ <value>50000000</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="direction">
+ <type>com.altera.sopcmodel.components.avalon.AlteraAvalonPIO.AlteraAvalonPIO$Direction</type>
+ <value>Input</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="edgeType">
+ <type>com.altera.sopcmodel.components.avalon.AlteraAvalonPIO.AlteraAvalonPIO$EdgeType</type>
+ <value>RISING</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateIRQ">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="irqType">
+ <type>com.altera.sopcmodel.components.avalon.AlteraAvalonPIO.AlteraAvalonPIO$IrqType</type>
+ <value>EDGE</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="resetValue">
+ <type>long</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="simDoTestBenchWiring">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="simDrivenValue">
+ <type>long</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="width">
+ <type>int</type>
+ <value>4</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <interface name="clk" kind="clock_sink" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="clockRate">
+ <type>java.lang.Long</type>
+ <value>50000000</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockRateKnown">
+ <type>java.lang.Boolean</type>
+ <value>true</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="externallyDriven">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="ptfSchematicName">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>clock</type>
+ <isStart>false</isStart>
+ <port>
+ <name>clk</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>clk</role>
+ </port>
+ <port>
+ <name>reset_n</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>reset_n</role>
+ </port>
+ </interface>
+ <interface name="s1" kind="avalon_slave" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <assignment>
+ <name>embeddedsw.configuration.isFlash</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.isMemoryDevice</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.isNonVolatileStorage</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.isPrintableDevice</name>
+ <value>0</value>
+ </assignment>
+ <parameter name="addressAlignment">
+ <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
+ <value>NATIVE</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressGroup">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressSpan">
+ <type>long</type>
+ <value>4</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="alwaysBurstMaxBurst">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedClock">
+ <type>java.lang.String</type>
+ <value>clk</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bitsPerSymbol">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bridgesToMaster">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstOnBurstBoundariesOnly">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstcountUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="constantBurstBehavior">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="explicitAddressSpan">
+ <type>long</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="holdTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="interleaveBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isFlash">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isMemoryDevice">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isNonVolatileStorage">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="linewrapBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maximumPendingReadTransactions">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="minimumUninterruptedRunLength">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="printableDevice">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitStates">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitTime">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerIncomingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerOutgoingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setupTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timingUnits">
+ <type>com.altera.sopcmodel.avalon.TimingUnits</type>
+ <value>Cycles</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="transparentBridge">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="wellBehavedWaitrequest">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitStates">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>avalon</type>
+ <isStart>false</isStart>
+ <port>
+ <name>address</name>
+ <direction>Input</direction>
+ <width>2</width>
+ <role>address</role>
+ </port>
+ <port>
+ <name>write_n</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>write_n</role>
+ </port>
+ <port>
+ <name>writedata</name>
+ <direction>Input</direction>
+ <width>4</width>
+ <role>writedata</role>
+ </port>
+ <port>
+ <name>chipselect</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>chipselect</role>
+ </port>
+ <port>
+ <name>readdata</name>
+ <direction>Output</direction>
+ <width>4</width>
+ <role>readdata</role>
+ </port>
+ </interface>
+ <interface name="external_connection" kind="conduit" version="7.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>conduit</type>
+ <isStart>false</isStart>
+ <port>
+ <name>in_port</name>
+ <direction>Input</direction>
+ <width>4</width>
+ <role>export</role>
+ </port>
+ </interface>
+ <interface name="irq" kind="interrupt_sender" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="associatedAddressablePoint">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value>pio_dipswitch.s1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="irqScheme">
+ <type>com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme</type>
+ <value>NONE</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>interrupt</type>
+ <isStart>false</isStart>
+ <port>
+ <name>irq</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>irq</role>
+ </port>
+ </interface>
+ </module>
<connection
name="clk_0.clk/cpu_0.clk"
kind="clock"
@@ -18515,68 +20844,546 @@ parameters are a RESULT of the module parameters. -->
<endModule>ISP1362</endModule>
<endConnectionPoint>interrupt_sender_0</endConnectionPoint>
</connection>
+ <connection
+ name="clk_0.clk/pio_leds_front.clk"
+ kind="clock"
+ version="9.1"
+ start="clk_0.clk"
+ end="pio_leds_front.clk">
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>clk_0</startModule>
+ <startConnectionPoint>clk</startConnectionPoint>
+ <endModule>pio_leds_front</endModule>
+ <endConnectionPoint>clk</endConnectionPoint>
+ </connection>
+ <connection
+ name="cpu_0.data_master/pio_leds_front.s1"
+ kind="avalon"
+ version="6.1"
+ start="cpu_0.data_master"
+ end="pio_leds_front.s1">
+ <parameter name="arbitrationPriority">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="baseAddress">
+ <type>long</type>
+ <value>0x04400020</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>cpu_0</startModule>
+ <startConnectionPoint>data_master</startConnectionPoint>
+ <endModule>pio_leds_front</endModule>
+ <endConnectionPoint>s1</endConnectionPoint>
+ </connection>
+ <connection
+ name="cpu_0.custom_instruction_master/cpu_0_converter.s1"
+ kind="nios_custom_instruction"
+ version="9.1"
+ start="cpu_0.custom_instruction_master"
+ end="cpu_0_converter.s1">
+ <parameter name="CIName">
+ <type>java.lang.String</type>
+ <value>converter</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="CINameUpgrade">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="arbitrationPriority">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="baseAddress">
+ <type>long</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="opcodeExtensionUpgrade">
+ <type>int</type>
+ <value>-1</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>cpu_0</startModule>
+ <startConnectionPoint>custom_instruction_master</startConnectionPoint>
+ <endModule>cpu_0_converter</endModule>
+ <endConnectionPoint>s1</endConnectionPoint>
+ </connection>
+ <connection
+ name="cpu_0.custom_instruction_master/cpu_0_ffs_inst.nios_custom_instruction_slave_0"
+ kind="nios_custom_instruction"
+ version="9.1"
+ start="cpu_0.custom_instruction_master"
+ end="cpu_0_ffs_inst.nios_custom_instruction_slave_0">
+ <parameter name="CIName">
+ <type>java.lang.String</type>
+ <value>ffs_inst</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="CINameUpgrade">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="arbitrationPriority">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="baseAddress">
+ <type>long</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="opcodeExtensionUpgrade">
+ <type>int</type>
+ <value>-1</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>cpu_0</startModule>
+ <startConnectionPoint>custom_instruction_master</startConnectionPoint>
+ <endModule>cpu_0_ffs_inst</endModule>
+ <endConnectionPoint>nios_custom_instruction_slave_0</endConnectionPoint>
+ </connection>
+ <connection
+ name="cpu_0.custom_instruction_master/cpu_0_fls_inst.nios_custom_instruction_slave_0"
+ kind="nios_custom_instruction"
+ version="9.1"
+ start="cpu_0.custom_instruction_master"
+ end="cpu_0_fls_inst.nios_custom_instruction_slave_0">
+ <parameter name="CIName">
+ <type>java.lang.String</type>
+ <value>fls_inst</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="CINameUpgrade">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="arbitrationPriority">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="baseAddress">
+ <type>long</type>
+ <value>2</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="opcodeExtensionUpgrade">
+ <type>int</type>
+ <value>-1</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>cpu_0</startModule>
+ <startConnectionPoint>custom_instruction_master</startConnectionPoint>
+ <endModule>cpu_0_fls_inst</endModule>
+ <endConnectionPoint>nios_custom_instruction_slave_0</endConnectionPoint>
+ </connection>
+ <connection
+ name="clk_0.clk/pio_leds_board.clk"
+ kind="clock"
+ version="9.1"
+ start="clk_0.clk"
+ end="pio_leds_board.clk">
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>clk_0</startModule>
+ <startConnectionPoint>clk</startConnectionPoint>
+ <endModule>pio_leds_board</endModule>
+ <endConnectionPoint>clk</endConnectionPoint>
+ </connection>
+ <connection
+ name="cpu_0.data_master/pio_leds_board.s1"
+ kind="avalon"
+ version="6.1"
+ start="cpu_0.data_master"
+ end="pio_leds_board.s1">
+ <parameter name="arbitrationPriority">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="baseAddress">
+ <type>long</type>
+ <value>0x04400040</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>cpu_0</startModule>
+ <startConnectionPoint>data_master</startConnectionPoint>
+ <endModule>pio_leds_board</endModule>
+ <endConnectionPoint>s1</endConnectionPoint>
+ </connection>
+ <connection
+ name="clk_0.clk/pio_dipswitch.clk"
+ kind="clock"
+ version="9.1"
+ start="clk_0.clk"
+ end="pio_dipswitch.clk">
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>clk_0</startModule>
+ <startConnectionPoint>clk</startConnectionPoint>
+ <endModule>pio_dipswitch</endModule>
+ <endConnectionPoint>clk</endConnectionPoint>
+ </connection>
+ <connection
+ name="cpu_0.data_master/pio_dipswitch.s1"
+ kind="avalon"
+ version="6.1"
+ start="cpu_0.data_master"
+ end="pio_dipswitch.s1">
+ <parameter name="arbitrationPriority">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="baseAddress">
+ <type>long</type>
+ <value>0x04400060</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>cpu_0</startModule>
+ <startConnectionPoint>data_master</startConnectionPoint>
+ <endModule>pio_dipswitch</endModule>
+ <endConnectionPoint>s1</endConnectionPoint>
+ </connection>
+ <connection
+ name="cpu_0.d_irq/pio_dipswitch.irq"
+ kind="interrupt"
+ version="9.1"
+ start="cpu_0.d_irq"
+ end="pio_dipswitch.irq">
+ <parameter name="irqNumber">
+ <type>int</type>
+ <value>9</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>cpu_0</startModule>
+ <startConnectionPoint>d_irq</startConnectionPoint>
+ <endModule>pio_dipswitch</endModule>
+ <endConnectionPoint>irq</endConnectionPoint>
+ </connection>
<plugin>
- <instanceCount>1</instanceCount>
- <name>avalon_tristate_master</name>
+ <instanceCount>2</instanceCount>
+ <name>nios_custom_instruction_slave</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Memory Mapped Tristate Master</displayName>
+ <displayName>Custom Instruction Slave</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>clock_source</name>
+ <name>nios_custom_instruction_slave</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Clock Source</displayName>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Custom Instruction Slave</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>1</instanceCount>
- <name>altera_avalon_epcs_flash_controller</name>
+ <instanceCount>2</instanceCount>
+ <name>avalon_slave</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>EPCS Serial Flash Controller</displayName>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Avalon Memory Mapped Slave</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>2</instanceCount>
- <name>avalon_streaming</name>
+ <instanceCount>1</instanceCount>
+ <name>clock_sink</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IConnection</subtype>
- <displayName>Avalon Streaming Connection</displayName>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Clock Input</displayName>
<version>9.1</version>
</plugin>
<plugin>
+ <instanceCount>7</instanceCount>
+ <name>conduit</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Conduit Endpoint</displayName>
+ <version>7.1</version>
+ </plugin>
+ <plugin>
<instanceCount>1</instanceCount>
- <name>clock_sink</name>
+ <name>interrupt_receiver</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Clock Input</displayName>
+ <displayName>Interrupt Receiver</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>3</instanceCount>
+ <name>altera_avalon_pio</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>PIO (Parallel I/O)</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>2</instanceCount>
- <name>altera_avalon_timer</name>
+ <name>altera_avalon_sgdma</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Interval Timer</displayName>
+ <displayName>Scatter-Gather DMA Controller</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_nios2</name>
+ <name>altera_avalon_new_sdram_controller</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Nios II Processor</displayName>
+ <displayName>SDRAM Controller</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>15</instanceCount>
- <name>avalon_slave</name>
+ <instanceCount>30</instanceCount>
+ <name>avalon</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IConnection</subtype>
+ <displayName>Avalon Memory Mapped Connection</displayName>
+ <version>6.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>1</instanceCount>
+ <name>conduit_end</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Memory Mapped Slave</displayName>
+ <displayName>Conduit</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>3</instanceCount>
+ <name>nios_custom_instruction</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IConnection</subtype>
+ <displayName>Nios II Custom Instruction Connection</displayName>
<version>9.1</version>
</plugin>
<plugin>
@@ -18588,51 +21395,51 @@ parameters are a RESULT of the module parameters. -->
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>2</instanceCount>
- <name>altera_avalon_onchip_memory2</name>
+ <instanceCount>1</instanceCount>
+ <name>altera_nios2</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>On-Chip Memory (RAM or ROM)</displayName>
+ <displayName>Nios II Processor</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>2</instanceCount>
- <name>altera_avalon_sgdma</name>
+ <name>interrupt_sender</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Scatter-Gather DMA Controller</displayName>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Interrupt Sender</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>clock_source</name>
+ <name>altera_avalon_tri_state_bridge</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Clock Output</displayName>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>Avalon-MM Tristate Bridge</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>19</instanceCount>
- <name>clock</name>
+ <instanceCount>1</instanceCount>
+ <name>altera_avalon_jtag_uart</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IConnection</subtype>
- <displayName>Clock Connection</displayName>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>JTAG UART</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>7</instanceCount>
- <name>interrupt_sender</name>
+ <instanceCount>10</instanceCount>
+ <name>avalon_master</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Interrupt Sender</displayName>
+ <displayName>Avalon Memory Mapped Master</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>2</instanceCount>
- <name>avalon_slave</name>
+ <name>altera_avalon_timer</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Memory Mapped Slave</displayName>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>Interval Timer</displayName>
<version>9.1</version>
</plugin>
<plugin>
@@ -18644,35 +21451,43 @@ parameters are a RESULT of the module parameters. -->
<version>9.1</version>
</plugin>
<plugin>
+ <instanceCount>2</instanceCount>
+ <name>avalon_streaming</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IConnection</subtype>
+ <displayName>Avalon Streaming Connection</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
<instanceCount>1</instanceCount>
- <name>ISP1362_CTRL</name>
+ <name>altera_nios_custom_instr_endian_converter</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>ISP1362_CTRL</displayName>
- <version>1.0</version>
+ <displayName>Endian Converter</displayName>
+ <version>6.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_cfi_flash</name>
+ <name>altera_avalon_sysid</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Flash Memory Interface (CFI)</displayName>
+ <displayName>System ID Peripheral</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>27</instanceCount>
- <name>avalon</name>
+ <instanceCount>1</instanceCount>
+ <name>altera_avalon_uart</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IConnection</subtype>
- <displayName>Avalon Memory Mapped Connection</displayName>
- <version>6.1</version>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>UART (RS-232 Serial Port)</displayName>
+ <version>9.1</version>
</plugin>
<plugin>
- <instanceCount>1</instanceCount>
- <name>triple_speed_ethernet</name>
+ <instanceCount>8</instanceCount>
+ <name>interrupt_sender</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Triple-Speed Ethernet</displayName>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Interrupt Sender</displayName>
<version>9.1</version>
</plugin>
<plugin>
@@ -18684,52 +21499,76 @@ parameters are a RESULT of the module parameters. -->
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>9</instanceCount>
- <name>interrupt</name>
+ <instanceCount>22</instanceCount>
+ <name>clock_sink</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Clock Input</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>22</instanceCount>
+ <name>clock</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IConnection</subtype>
- <displayName>Interrupt Connection</displayName>
+ <displayName>Clock Connection</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_sysid</name>
+ <name>clock_source</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>System ID Peripheral</displayName>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Clock Output</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>19</instanceCount>
- <name>clock_sink</name>
+ <instanceCount>1</instanceCount>
+ <name>nios_custom_instruction_master</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Clock Input</displayName>
+ <displayName>Custom Instruction Master</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>conduit_end</name>
+ <name>triple_speed_ethernet</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Conduit</displayName>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>Triple-Speed Ethernet</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_tri_state_bridge</name>
+ <name>ISP1362_CTRL</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Avalon-MM Tristate Bridge</displayName>
+ <displayName>ISP1362_CTRL</displayName>
+ <version>1.0</version>
+ </plugin>
+ <plugin>
+ <instanceCount>1</instanceCount>
+ <name>ffs</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>ffs</displayName>
+ <version>1.0</version>
+ </plugin>
+ <plugin>
+ <instanceCount>1</instanceCount>
+ <name>altera_avalon_cfi_flash</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>Flash Memory Interface (CFI)</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>4</instanceCount>
- <name>conduit</name>
+ <instanceCount>2</instanceCount>
+ <name>altera_avalon_onchip_memory2</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Conduit Endpoint</displayName>
- <version>7.1</version>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>On-Chip Memory (RAM or ROM)</displayName>
+ <version>9.1</version>
</plugin>
<plugin>
<instanceCount>2</instanceCount>
@@ -18740,61 +21579,53 @@ parameters are a RESULT of the module parameters. -->
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>10</instanceCount>
- <name>avalon_master</name>
+ <instanceCount>18</instanceCount>
+ <name>avalon_slave</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Memory Mapped Master</displayName>
+ <displayName>Avalon Memory Mapped Slave</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_uart</name>
+ <name>clock_source</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>UART (RS-232 Serial Port)</displayName>
+ <displayName>Clock Source</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_jtag_uart</name>
+ <name>fls</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>JTAG UART</displayName>
- <version>9.1</version>
- </plugin>
- <plugin>
- <instanceCount>2</instanceCount>
- <name>interrupt_sender</name>
- <type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Interrupt Sender</displayName>
- <version>9.1</version>
+ <displayName>fls</displayName>
+ <version>1.0</version>
</plugin>
<plugin>
- <instanceCount>1</instanceCount>
- <name>interrupt_receiver</name>
+ <instanceCount>10</instanceCount>
+ <name>interrupt</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Interrupt Receiver</displayName>
+ <subtype>com.altera.entityinterfaces.IConnection</subtype>
+ <displayName>Interrupt Connection</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>nios_custom_instruction_master</name>
+ <name>avalon_tristate_master</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Custom Instruction Master</displayName>
+ <displayName>Avalon Memory Mapped Tristate Master</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_new_sdram_controller</name>
+ <name>altera_avalon_epcs_flash_controller</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>SDRAM Controller</displayName>
+ <displayName>EPCS Serial Flash Controller</displayName>
<version>9.1</version>
</plugin>
<reportVersion>9.1sp2 350</reportVersion>
- <uniqueIdentifier>00151200020400000130BD2BD28E</uniqueIdentifier>
+ <uniqueIdentifier>0023AE674DE000000135F150E472</uniqueIdentifier>
</EnsembleReport>