summaryrefslogtreecommitdiff
path: root/dionysos_top.vhd
diff options
context:
space:
mode:
authorTobias Klauser <tklauser@distanz.ch>2013-01-09 14:03:23 +0100
committerTobias Klauser <tklauser@distanz.ch>2013-01-09 14:03:23 +0100
commit4bf58ac486b151293a0c0f9bd598e44311eb2022 (patch)
tree64e48885974aa9070e3e97d46ba7378228dea3c3 /dionysos_top.vhd
parent134933c6336efed17dde077df3a9a3233b620bae (diff)
Update LED vector length, pid bits and address ranges of SOPC components
Diffstat (limited to 'dionysos_top.vhd')
-rw-r--r--dionysos_top.vhd2
1 files changed, 1 insertions, 1 deletions
diff --git a/dionysos_top.vhd b/dionysos_top.vhd
index 19dd923..e167acb 100644
--- a/dionysos_top.vhd
+++ b/dionysos_top.vhd
@@ -219,7 +219,7 @@ end dionysos_top;
architecture rtl of dionysos_top is
--! front pannel LEDs
- signal leds_front : std_logic_vector(13 downto 0);
+ signal leds_front : std_logic_vector(15 downto 0);
--! board LEDs
signal leds_board : std_logic_vector(3 downto 0);