summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
-rw-r--r--.gitignore11
-rw-r--r--dionysos_top.vhd96
-rw-r--r--quartus/dionysos_nios2mmu.bsf262
-rw-r--r--quartus/dionysos_nios2mmu.qsf18
-rw-r--r--quartus/dionysos_nios2mmu.sopc257
-rw-r--r--quartus/dionysos_nios2mmu.sopcinfo1540
6 files changed, 1811 insertions, 373 deletions
diff --git a/.gitignore b/.gitignore
index f0fb01b..5299300 100644
--- a/.gitignore
+++ b/.gitignore
@@ -1 +1,12 @@
+quartus/db/
+quartus/incremental_db/
+quartus/dionysos_nios2mmu_sim/
quartus/.sopc_builder
+quartus/*.bak
+quartus/*.html
+quartus/*.summary
+
+# VHDL created by SOPC builder
+quartus/cpu_0*
+quartus/tse_mac*.vhd
+quartus/watchdog_timer.vhd
diff --git a/dionysos_top.vhd b/dionysos_top.vhd
index 66e0392..6924a30 100644
--- a/dionysos_top.vhd
+++ b/dionysos_top.vhd
@@ -6,9 +6,10 @@
-- _| |_| | | | |____ ____) | (University of Applied Sciences) -
-- |_____|_| |_|______|_____/ 8401 Winterthur, Switzerland -
------------------------------------------------------------------
--- $LastChangedDate: 2008-02-06 14:28:53 +0100 (Mi, 06 Feb 2008) $
--- $Rev: 828 $
--- $Author: ffar $
+--
+-- $LastChangedDate: $
+-- $Rev: $
+-- $Author: $
-----------------------------------------------------------------
--
-- Change History
@@ -200,6 +201,17 @@ architecture rtl of dionysos_top is
--! FPGA main clock frequency (50MHz)
constant C_FPGA_FREQ : integer := 50000000;
+ --! front pannel LEDs
+ signal leds : std_logic_vector(15 downto 0);
+
+ --! @name SDRAM Data
+ --@{
+ --! Nios SDRAM controller data mask (byte select)
+ signal dram_dqm : std_logic_vector(1 downto 0);
+ --! Nios SDRAM controller bank address
+ signal dram_ba : std_logic_vector(1 downto 0);
+ --@}
+
--! 50 MHz Clock which is Source Synchronous to the DRAM Data
signal pll_clk_50 : std_logic;
--! 25 MHz Clock
@@ -214,16 +226,6 @@ architecture rtl of dionysos_top is
signal fpga_reset_n_ff_100 : std_logic;
--! Reset for the NIOS. Active in Ethernet Modus (no DSL)
signal reset_nios_n : std_logic;
-
- --! front pannel LEDs
- signal leds : std_logic_vector(15 downto 0);
-
- --! @name SDRAM Data
- --@{
- --! Nios SDRAM controller data mask (byte select)
- signal dram_dqm : std_logic_vector(1 downto 0);
- --! Nios SDRAM controller bank address
- signal dram_ba : std_logic_vector(1 downto 0);
--@}
--! @name RX byte stream interface of ethernet phy 1
@@ -263,7 +265,7 @@ architecture rtl of dionysos_top is
signal et_phy2_tx_ack_2 : std_logic;
--@}
- --! link status of ethernet interfaces (from MDIO) \todo tidy mdio
+ --! link status of ethernet interfaces (from MDIO)
signal et_phy1_link_status : std_logic_vector(3 downto 0);
--! synchronised on clock dip switch states
@@ -356,6 +358,11 @@ architecture rtl of dionysos_top is
reset_n_o => fpga_reset_n_ff_100 -- reset 100 clocks delayed
);
+ gen_led_g_o <= (others => '1');
+ gen_led_r_o <= (others => '1');
+
+ gp_led_o <= (others => '1');
+
-- NIOSII CPU
flash_reset_n_o <= '1';
fash_acc_o <= '0'; -- Hardware Write Protect input (accelerated program operations)
@@ -408,30 +415,31 @@ architecture rtl of dionysos_top is
sdo_from_the_epcs_flash_controller_0 => config_asd0_o,
-- TSE MAC
- -- ena_10_from_the_triple_speed_ethernet_0 => ena_10_from_the_triple_speed_ethernet_0,
- -- eth_mode_from_the_triple_speed_ethernet_0 => eth_mode_from_the_triple_speed_ethernet_0,
- gm_rx_d_to_the_triple_speed_ethernet_0 => (others => '0'),
- gm_rx_dv_to_the_triple_speed_ethernet_0 => '0',
- gm_rx_err_to_the_triple_speed_ethernet_0 => '0',
- -- gm_tx_d_from_the_triple_speed_ethernet_0 => gm_tx_d_from_the_triple_speed_ethernet_0,
- -- gm_tx_en_from_the_triple_speed_ethernet_0 => gm_tx_en_from_the_triple_speed_ethernet_0,
- -- gm_tx_err_from_the_triple_speed_ethernet_0 => gm_tx_err_from_the_triple_speed_ethernet_0,
- m_rx_col_to_the_triple_speed_ethernet_0 => et_phy2_col_1_i,
- m_rx_crs_to_the_triple_speed_ethernet_0 => '1', -- et_phy2_crs_1_i is not the same in rmii mode
- m_rx_d_to_the_triple_speed_ethernet_0 => mii_rxd_to_mac_0,
- m_rx_en_to_the_triple_speed_ethernet_0 => mii_rx_dv_to_mac_0,
- m_rx_err_to_the_triple_speed_ethernet_0 => '0',
- mdio_in_to_the_triple_speed_ethernet_0 => mac_mdio_in,
- m_tx_d_from_the_triple_speed_ethernet_0 => mii_txd_from_mac_0,
- m_tx_en_from_the_triple_speed_ethernet_0 => mii_tx_en_from_mac_0,
- -- m_tx_err_from_the_triple_speed_ethernet_0 => m_tx_err_from_the_triple_speed_ethernet_0,
- mdc_from_the_triple_speed_ethernet_0 => et_phy2_mdc_o,
- mdio_oen_from_the_triple_speed_ethernet_0 => mac_mdio_en_n,
- mdio_out_from_the_triple_speed_ethernet_0 => mac_mdio_out,
- rx_clk_to_the_triple_speed_ethernet_0 => pll_clk_25,
- set_1000_to_the_triple_speed_ethernet_0 => '0', -- tie to 0 if not used
- set_10_to_the_triple_speed_ethernet_0 => '0', -- tie to 0 if not used
- tx_clk_to_the_triple_speed_ethernet_0 => pll_clk_25
+ -- ena_10_from_the_tse_mac => ena_10_from_the_tse_mac,
+ -- eth_mode_from_the_tse_mac => eth_mode_from_the_tse_mac,
+ gm_rx_d_to_the_tse_mac => (others => '0'),
+ gm_rx_dv_to_the_tse_mac => '0',
+ gm_rx_err_to_the_tse_mac => '0',
+ -- gm_tx_d_from_the_tse_mac => gm_tx_d_from_the_tse_mac,
+ -- gm_tx_en_from_the_tse_mac => gm_tx_en_from_the_tse_mac,
+ -- gm_tx_err_from_the_tse_mac => gm_tx_err_from_the_tse_mac,
+ m_rx_col_to_the_tse_mac => et_phy2_col_1_i,
+ m_rx_crs_to_the_tse_mac => '1', -- et_phy2_crs_1_i is not the same in rmii mode
+ m_rx_d_to_the_tse_mac => mii_rxd_to_mac_0,
+ m_rx_en_to_the_tse_mac => mii_rx_dv_to_mac_0,
+ m_rx_err_to_the_tse_mac => '0',
+
+ m_tx_d_from_the_tse_mac => mii_txd_from_mac_0,
+ m_tx_en_from_the_tse_mac => mii_tx_en_from_mac_0,
+ -- m_tx_err_from_the_tse_mac => m_tx_err_from_the_tse_mac,
+ mdio_in_to_the_tse_mac => mac_mdio_in,
+ mdc_from_the_tse_mac => et_phy2_mdc_o,
+ mdio_oen_from_the_tse_mac => mac_mdio_en_n,
+ mdio_out_from_the_tse_mac => mac_mdio_out,
+ rx_clk_to_the_tse_mac => pll_clk_25,
+ set_1000_to_the_tse_mac => '0', -- tie to 0 if not used
+ set_10_to_the_tse_mac => '0', -- tie to 0 if not used
+ tx_clk_to_the_tse_mac => pll_clk_25
);
---------------------------------------------------------------------
@@ -459,8 +467,8 @@ architecture rtl of dionysos_top is
reset_n_i => reset_n,
clk_25_i => pll_clk_25,
clk_50_i => pll_clk_50,
- rmii_crs_i => et_phy2_crs_1_i,
-
+ rmii_link_i => '1', -- et_phy2_crs_1_i is not the same in RMII mode
+
-- Transmit Path
mii_tx_en_i => mii_tx_en_from_mac_0,
mii_txd_i => mii_txd_from_mac_0,
@@ -472,7 +480,7 @@ architecture rtl of dionysos_top is
rmii_rxd_i => et_phy2_rxd_1_i(1 downto 0),
mii_rx_dv_o => mii_rx_dv_to_mac_0,
- mii_rxd_o => mii_rxd_to_mac_0
+ mii_rxd_o => mii_rxd_to_mac_0
);
et_phy2_txd_2_o(3 downto 2) <= "00";
@@ -482,8 +490,8 @@ architecture rtl of dionysos_top is
reset_n_i => reset_n,
clk_25_i => pll_clk_25,
clk_50_i => pll_clk_50,
- rmii_crs_i => et_phy2_crs_2_i,
-
+ rmii_link_i => '1', -- et_phy2_crs_2_i is not the same in RMII mode
+
-- Transmit Path
mii_tx_en_i => mii_tx_en_from_mac_1,
mii_txd_i => mii_txd_from_mac_1,
@@ -495,7 +503,7 @@ architecture rtl of dionysos_top is
rmii_rxd_i => et_phy2_rxd_2_i(1 downto 0),
mii_rx_dv_o => mii_rx_dv_to_mac_1,
- mii_rxd_o => mii_rxd_to_mac_1
+ mii_rxd_o => mii_rxd_to_mac_1
);
------------------------------------------------------------------------
diff --git a/quartus/dionysos_nios2mmu.bsf b/quartus/dionysos_nios2mmu.bsf
index 64435b0..225bc4f 100644
--- a/quartus/dionysos_nios2mmu.bsf
+++ b/quartus/dionysos_nios2mmu.bsf
@@ -1,6 +1,6 @@
(header "symbol" (version "1.1"))
(symbol
-(rect 0 0 528 656)
+(rect 0 0 448 656)
(text "dionysos_nios2mmu" (rect 4 0 136 16)(font "Arial" (font_size 10)))
(text "inst" (rect 4 640 28 656)(font "Arial"))
(port
@@ -27,92 +27,92 @@
(port
(pt 0 400)
(input)
-(text "gm_rx_d_to_the_triple_speed_ethernet_0[7..0] " (rect 0 0 225 16)(font "Arial" (font_size 8)))
-(text "gm_rx_d_to_the_triple_speed_ethernet_0[7..0] " (rect 20 393 245 409)(font "Arial" (font_size 8)))
+(text "gm_rx_d_to_the_tse_mac[7..0] " (rect 0 0 149 16)(font "Arial" (font_size 8)))
+(text "gm_rx_d_to_the_tse_mac[7..0] " (rect 20 393 169 409)(font "Arial" (font_size 8)))
(line (pt 0 400)(pt 16 400)(line_width 3))
)
(port
(pt 0 416)
(input)
-(text "gm_rx_dv_to_the_triple_speed_ethernet_0 " (rect 0 0 206 16)(font "Arial" (font_size 8)))
-(text "gm_rx_dv_to_the_triple_speed_ethernet_0 " (rect 20 409 226 425)(font "Arial" (font_size 8)))
+(text "gm_rx_dv_to_the_tse_mac " (rect 0 0 130 16)(font "Arial" (font_size 8)))
+(text "gm_rx_dv_to_the_tse_mac " (rect 20 409 150 425)(font "Arial" (font_size 8)))
(line (pt 0 416)(pt 16 416)(line_width 1))
)
(port
(pt 0 432)
(input)
-(text "gm_rx_err_to_the_triple_speed_ethernet_0 " (rect 0 0 208 16)(font "Arial" (font_size 8)))
-(text "gm_rx_err_to_the_triple_speed_ethernet_0 " (rect 20 425 228 441)(font "Arial" (font_size 8)))
+(text "gm_rx_err_to_the_tse_mac " (rect 0 0 132 16)(font "Arial" (font_size 8)))
+(text "gm_rx_err_to_the_tse_mac " (rect 20 425 152 441)(font "Arial" (font_size 8)))
(line (pt 0 432)(pt 16 432)(line_width 1))
)
(port
(pt 0 448)
(input)
-(text "m_rx_col_to_the_triple_speed_ethernet_0 " (rect 0 0 203 16)(font "Arial" (font_size 8)))
-(text "m_rx_col_to_the_triple_speed_ethernet_0 " (rect 20 441 223 457)(font "Arial" (font_size 8)))
+(text "m_rx_col_to_the_tse_mac " (rect 0 0 127 16)(font "Arial" (font_size 8)))
+(text "m_rx_col_to_the_tse_mac " (rect 20 441 147 457)(font "Arial" (font_size 8)))
(line (pt 0 448)(pt 16 448)(line_width 1))
)
(port
(pt 0 464)
(input)
-(text "m_rx_crs_to_the_triple_speed_ethernet_0 " (rect 0 0 203 16)(font "Arial" (font_size 8)))
-(text "m_rx_crs_to_the_triple_speed_ethernet_0 " (rect 20 457 223 473)(font "Arial" (font_size 8)))
+(text "m_rx_crs_to_the_tse_mac " (rect 0 0 127 16)(font "Arial" (font_size 8)))
+(text "m_rx_crs_to_the_tse_mac " (rect 20 457 148 473)(font "Arial" (font_size 8)))
(line (pt 0 464)(pt 16 464)(line_width 1))
)
(port
(pt 0 480)
(input)
-(text "m_rx_d_to_the_triple_speed_ethernet_0[3..0] " (rect 0 0 219 16)(font "Arial" (font_size 8)))
-(text "m_rx_d_to_the_triple_speed_ethernet_0[3..0] " (rect 20 473 239 489)(font "Arial" (font_size 8)))
+(text "m_rx_d_to_the_tse_mac[3..0] " (rect 0 0 143 16)(font "Arial" (font_size 8)))
+(text "m_rx_d_to_the_tse_mac[3..0] " (rect 20 473 163 489)(font "Arial" (font_size 8)))
(line (pt 0 480)(pt 16 480)(line_width 3))
)
(port
(pt 0 496)
(input)
-(text "m_rx_en_to_the_triple_speed_ethernet_0 " (rect 0 0 201 16)(font "Arial" (font_size 8)))
-(text "m_rx_en_to_the_triple_speed_ethernet_0 " (rect 20 489 221 505)(font "Arial" (font_size 8)))
+(text "m_rx_en_to_the_tse_mac " (rect 0 0 125 16)(font "Arial" (font_size 8)))
+(text "m_rx_en_to_the_tse_mac " (rect 20 489 145 505)(font "Arial" (font_size 8)))
(line (pt 0 496)(pt 16 496)(line_width 1))
)
(port
(pt 0 512)
(input)
-(text "m_rx_err_to_the_triple_speed_ethernet_0 " (rect 0 0 202 16)(font "Arial" (font_size 8)))
-(text "m_rx_err_to_the_triple_speed_ethernet_0 " (rect 20 505 222 521)(font "Arial" (font_size 8)))
+(text "m_rx_err_to_the_tse_mac " (rect 0 0 126 16)(font "Arial" (font_size 8)))
+(text "m_rx_err_to_the_tse_mac " (rect 20 505 146 521)(font "Arial" (font_size 8)))
(line (pt 0 512)(pt 16 512)(line_width 1))
)
(port
(pt 0 528)
(input)
-(text "mdio_in_to_the_triple_speed_ethernet_0 " (rect 0 0 198 16)(font "Arial" (font_size 8)))
-(text "mdio_in_to_the_triple_speed_ethernet_0 " (rect 20 521 218 537)(font "Arial" (font_size 8)))
+(text "mdio_in_to_the_tse_mac " (rect 0 0 122 16)(font "Arial" (font_size 8)))
+(text "mdio_in_to_the_tse_mac " (rect 20 521 142 537)(font "Arial" (font_size 8)))
(line (pt 0 528)(pt 16 528)(line_width 1))
)
(port
(pt 0 544)
(input)
-(text "rx_clk_to_the_triple_speed_ethernet_0 " (rect 0 0 187 16)(font "Arial" (font_size 8)))
-(text "rx_clk_to_the_triple_speed_ethernet_0 " (rect 20 537 207 553)(font "Arial" (font_size 8)))
+(text "rx_clk_to_the_tse_mac " (rect 0 0 111 16)(font "Arial" (font_size 8)))
+(text "rx_clk_to_the_tse_mac " (rect 20 537 131 553)(font "Arial" (font_size 8)))
(line (pt 0 544)(pt 16 544)(line_width 1))
)
(port
(pt 0 560)
(input)
-(text "set_1000_to_the_triple_speed_ethernet_0 " (rect 0 0 205 16)(font "Arial" (font_size 8)))
-(text "set_1000_to_the_triple_speed_ethernet_0 " (rect 20 553 225 569)(font "Arial" (font_size 8)))
+(text "set_1000_to_the_tse_mac " (rect 0 0 129 16)(font "Arial" (font_size 8)))
+(text "set_1000_to_the_tse_mac " (rect 20 553 149 569)(font "Arial" (font_size 8)))
(line (pt 0 560)(pt 16 560)(line_width 1))
)
(port
(pt 0 576)
(input)
-(text "set_10_to_the_triple_speed_ethernet_0 " (rect 0 0 192 16)(font "Arial" (font_size 8)))
-(text "set_10_to_the_triple_speed_ethernet_0 " (rect 20 569 212 585)(font "Arial" (font_size 8)))
+(text "set_10_to_the_tse_mac " (rect 0 0 117 16)(font "Arial" (font_size 8)))
+(text "set_10_to_the_tse_mac " (rect 20 569 137 585)(font "Arial" (font_size 8)))
(line (pt 0 576)(pt 16 576)(line_width 1))
)
(port
(pt 0 592)
(input)
-(text "tx_clk_to_the_triple_speed_ethernet_0 " (rect 0 0 186 16)(font "Arial" (font_size 8)))
-(text "tx_clk_to_the_triple_speed_ethernet_0 " (rect 20 585 206 601)(font "Arial" (font_size 8)))
+(text "tx_clk_to_the_tse_mac " (rect 0 0 110 16)(font "Arial" (font_size 8)))
+(text "tx_clk_to_the_tse_mac " (rect 20 585 130 601)(font "Arial" (font_size 8)))
(line (pt 0 592)(pt 16 592)(line_width 1))
)
(port
@@ -123,213 +123,213 @@
(line (pt 0 624)(pt 16 624)(line_width 1))
)
(port
-(pt 528 80)
+(pt 448 80)
(output)
(text "dclk_from_the_epcs_flash_controller_0 " (rect 0 0 188 16)(font "Arial" (font_size 8)))
-(text "dclk_from_the_epcs_flash_controller_0 " (rect 313 73 501 89)(font "Arial" (font_size 8)))
-(line (pt 512 80)(pt 528 80)(line_width 1))
+(text "dclk_from_the_epcs_flash_controller_0 " (rect 233 73 421 89)(font "Arial" (font_size 8)))
+(line (pt 432 80)(pt 448 80)(line_width 1))
)
(port
-(pt 528 96)
+(pt 448 96)
(output)
(text "sce_from_the_epcs_flash_controller_0 " (rect 0 0 186 16)(font "Arial" (font_size 8)))
-(text "sce_from_the_epcs_flash_controller_0 " (rect 315 89 501 105)(font "Arial" (font_size 8)))
-(line (pt 512 96)(pt 528 96)(line_width 1))
+(text "sce_from_the_epcs_flash_controller_0 " (rect 235 89 421 105)(font "Arial" (font_size 8)))
+(line (pt 432 96)(pt 448 96)(line_width 1))
)
(port
-(pt 528 112)
+(pt 448 112)
(output)
(text "sdo_from_the_epcs_flash_controller_0 " (rect 0 0 186 16)(font "Arial" (font_size 8)))
-(text "sdo_from_the_epcs_flash_controller_0 " (rect 314 105 501 121)(font "Arial" (font_size 8)))
-(line (pt 512 112)(pt 528 112)(line_width 1))
+(text "sdo_from_the_epcs_flash_controller_0 " (rect 234 105 421 121)(font "Arial" (font_size 8)))
+(line (pt 432 112)(pt 448 112)(line_width 1))
)
(port
-(pt 528 144)
+(pt 448 144)
(output)
(text "zs_addr_from_the_sdram_0[11..0] " (rect 0 0 165 16)(font "Arial" (font_size 8)))
-(text "zs_addr_from_the_sdram_0[11..0] " (rect 335 137 501 153)(font "Arial" (font_size 8)))
-(line (pt 512 144)(pt 528 144)(line_width 3))
+(text "zs_addr_from_the_sdram_0[11..0] " (rect 255 137 421 153)(font "Arial" (font_size 8)))
+(line (pt 432 144)(pt 448 144)(line_width 3))
)
(port
-(pt 528 160)
+(pt 448 160)
(output)
(text "zs_ba_from_the_sdram_0[1..0] " (rect 0 0 150 16)(font "Arial" (font_size 8)))
-(text "zs_ba_from_the_sdram_0[1..0] " (rect 351 153 501 169)(font "Arial" (font_size 8)))
-(line (pt 512 160)(pt 528 160)(line_width 3))
+(text "zs_ba_from_the_sdram_0[1..0] " (rect 271 153 421 169)(font "Arial" (font_size 8)))
+(line (pt 432 160)(pt 448 160)(line_width 3))
)
(port
-(pt 528 176)
+(pt 448 176)
(output)
(text "zs_cas_n_from_the_sdram_0 " (rect 0 0 143 16)(font "Arial" (font_size 8)))
-(text "zs_cas_n_from_the_sdram_0 " (rect 358 169 501 185)(font "Arial" (font_size 8)))
-(line (pt 512 176)(pt 528 176)(line_width 1))
+(text "zs_cas_n_from_the_sdram_0 " (rect 278 169 421 185)(font "Arial" (font_size 8)))
+(line (pt 432 176)(pt 448 176)(line_width 1))
)
(port
-(pt 528 192)
+(pt 448 192)
(output)
(text "zs_cke_from_the_sdram_0 " (rect 0 0 131 16)(font "Arial" (font_size 8)))
-(text "zs_cke_from_the_sdram_0 " (rect 370 185 501 201)(font "Arial" (font_size 8)))
-(line (pt 512 192)(pt 528 192)(line_width 1))
+(text "zs_cke_from_the_sdram_0 " (rect 290 185 421 201)(font "Arial" (font_size 8)))
+(line (pt 432 192)(pt 448 192)(line_width 1))
)
(port
-(pt 528 208)
+(pt 448 208)
(output)
(text "zs_cs_n_from_the_sdram_0 " (rect 0 0 137 16)(font "Arial" (font_size 8)))
-(text "zs_cs_n_from_the_sdram_0 " (rect 364 201 501 217)(font "Arial" (font_size 8)))
-(line (pt 512 208)(pt 528 208)(line_width 1))
+(text "zs_cs_n_from_the_sdram_0 " (rect 284 201 421 217)(font "Arial" (font_size 8)))
+(line (pt 432 208)(pt 448 208)(line_width 1))
)
(port
-(pt 528 224)
+(pt 448 224)
(bidir)
(text "zs_dq_to_and_from_the_sdram_0[15..0] " (rect 0 0 195 16)(font "Arial" (font_size 8)))
-(text "zs_dq_to_and_from_the_sdram_0[15..0] " (rect 306 217 501 233)(font "Arial" (font_size 8)))
-(line (pt 512 224)(pt 528 224)(line_width 3))
+(text "zs_dq_to_and_from_the_sdram_0[15..0] " (rect 226 217 421 233)(font "Arial" (font_size 8)))
+(line (pt 432 224)(pt 448 224)(line_width 3))
)
(port
-(pt 528 240)
+(pt 448 240)
(output)
(text "zs_dqm_from_the_sdram_0[1..0] " (rect 0 0 159 16)(font "Arial" (font_size 8)))
-(text "zs_dqm_from_the_sdram_0[1..0] " (rect 342 233 501 249)(font "Arial" (font_size 8)))
-(line (pt 512 240)(pt 528 240)(line_width 3))
+(text "zs_dqm_from_the_sdram_0[1..0] " (rect 262 233 421 249)(font "Arial" (font_size 8)))
+(line (pt 432 240)(pt 448 240)(line_width 3))
)
(port
-(pt 528 256)
+(pt 448 256)
(output)
(text "zs_ras_n_from_the_sdram_0 " (rect 0 0 141 16)(font "Arial" (font_size 8)))
-(text "zs_ras_n_from_the_sdram_0 " (rect 360 249 501 265)(font "Arial" (font_size 8)))
-(line (pt 512 256)(pt 528 256)(line_width 1))
+(text "zs_ras_n_from_the_sdram_0 " (rect 280 249 421 265)(font "Arial" (font_size 8)))
+(line (pt 432 256)(pt 448 256)(line_width 1))
)
(port
-(pt 528 272)
+(pt 448 272)
(output)
(text "zs_we_n_from_the_sdram_0 " (rect 0 0 139 16)(font "Arial" (font_size 8)))
-(text "zs_we_n_from_the_sdram_0 " (rect 362 265 501 281)(font "Arial" (font_size 8)))
-(line (pt 512 272)(pt 528 272)(line_width 1))
+(text "zs_we_n_from_the_sdram_0 " (rect 282 265 421 281)(font "Arial" (font_size 8)))
+(line (pt 432 272)(pt 448 272)(line_width 1))
)
(port
-(pt 528 304)
+(pt 448 304)
(output)
(text "select_n_to_the_cfi_flash_0 " (rect 0 0 134 16)(font "Arial" (font_size 8)))
-(text "select_n_to_the_cfi_flash_0 " (rect 367 297 501 313)(font "Arial" (font_size 8)))
-(line (pt 512 304)(pt 528 304)(line_width 1))
+(text "select_n_to_the_cfi_flash_0 " (rect 287 297 421 313)(font "Arial" (font_size 8)))
+(line (pt 432 304)(pt 448 304)(line_width 1))
)
(port
-(pt 528 320)
+(pt 448 320)
(output)
(text "tri_state_bridge_0_address[21..0] " (rect 0 0 163 16)(font "Arial" (font_size 8)))
-(text "tri_state_bridge_0_address[21..0] " (rect 337 313 501 329)(font "Arial" (font_size 8)))
-(line (pt 512 320)(pt 528 320)(line_width 3))
+(text "tri_state_bridge_0_address[21..0] " (rect 257 313 421 329)(font "Arial" (font_size 8)))
+(line (pt 432 320)(pt 448 320)(line_width 3))
)
(port
-(pt 528 336)
+(pt 448 336)
(bidir)
(text "tri_state_bridge_0_data[7..0] " (rect 0 0 139 16)(font "Arial" (font_size 8)))
-(text "tri_state_bridge_0_data[7..0] " (rect 362 329 501 345)(font "Arial" (font_size 8)))
-(line (pt 512 336)(pt 528 336)(line_width 3))
+(text "tri_state_bridge_0_data[7..0] " (rect 282 329 421 345)(font "Arial" (font_size 8)))
+(line (pt 432 336)(pt 448 336)(line_width 3))
)
(port
-(pt 528 352)
+(pt 448 352)
(output)
(text "tri_state_bridge_0_readn " (rect 0 0 122 16)(font "Arial" (font_size 8)))
-(text "tri_state_bridge_0_readn " (rect 379 345 501 361)(font "Arial" (font_size 8)))
-(line (pt 512 352)(pt 528 352)(line_width 1))
+(text "tri_state_bridge_0_readn " (rect 299 345 421 361)(font "Arial" (font_size 8)))
+(line (pt 432 352)(pt 448 352)(line_width 1))
)
(port
-(pt 528 368)
+(pt 448 368)
(output)
(text "write_n_to_the_cfi_flash_0 " (rect 0 0 128 16)(font "Arial" (font_size 8)))
-(text "write_n_to_the_cfi_flash_0 " (rect 372 361 501 377)(font "Arial" (font_size 8)))
-(line (pt 512 368)(pt 528 368)(line_width 1))
+(text "write_n_to_the_cfi_flash_0 " (rect 292 361 421 377)(font "Arial" (font_size 8)))
+(line (pt 432 368)(pt 448 368)(line_width 1))
)
(port
-(pt 528 400)
+(pt 448 400)
(output)
-(text "ena_10_from_the_triple_speed_ethernet_0 " (rect 0 0 208 16)(font "Arial" (font_size 8)))
-(text "ena_10_from_the_triple_speed_ethernet_0 " (rect 292 393 501 409)(font "Arial" (font_size 8)))
-(line (pt 512 400)(pt 528 400)(line_width 1))
+(text "ena_10_from_the_tse_mac " (rect 0 0 132 16)(font "Arial" (font_size 8)))
+(text "ena_10_from_the_tse_mac " (rect 288 393 421 409)(font "Arial" (font_size 8)))
+(line (pt 432 400)(pt 448 400)(line_width 1))
)
(port
-(pt 528 416)
+(pt 448 416)
(output)
-(text "eth_mode_from_the_triple_speed_ethernet_0 " (rect 0 0 220 16)(font "Arial" (font_size 8)))
-(text "eth_mode_from_the_triple_speed_ethernet_0 " (rect 281 409 501 425)(font "Arial" (font_size 8)))
-(line (pt 512 416)(pt 528 416)(line_width 1))
+(text "eth_mode_from_the_tse_mac " (rect 0 0 144 16)(font "Arial" (font_size 8)))
+(text "eth_mode_from_the_tse_mac " (rect 277 409 421 425)(font "Arial" (font_size 8)))
+(line (pt 432 416)(pt 448 416)(line_width 1))
)
(port
-(pt 528 432)
+(pt 448 432)
(output)
-(text "gm_tx_d_from_the_triple_speed_ethernet_0[7..0] " (rect 0 0 237 16)(font "Arial" (font_size 8)))
-(text "gm_tx_d_from_the_triple_speed_ethernet_0[7..0] " (rect 264 425 501 441)(font "Arial" (font_size 8)))
-(line (pt 512 432)(pt 528 432)(line_width 3))
+(text "gm_tx_d_from_the_tse_mac[7..0] " (rect 0 0 161 16)(font "Arial" (font_size 8)))
+(text "gm_tx_d_from_the_tse_mac[7..0] " (rect 260 425 421 441)(font "Arial" (font_size 8)))
+(line (pt 432 432)(pt 448 432)(line_width 3))
)
(port
-(pt 528 448)
+(pt 448 448)
(output)
-(text "gm_tx_en_from_the_triple_speed_ethernet_0 " (rect 0 0 219 16)(font "Arial" (font_size 8)))
-(text "gm_tx_en_from_the_triple_speed_ethernet_0 " (rect 282 441 501 457)(font "Arial" (font_size 8)))
-(line (pt 512 448)(pt 528 448)(line_width 1))
+(text "gm_tx_en_from_the_tse_mac " (rect 0 0 143 16)(font "Arial" (font_size 8)))
+(text "gm_tx_en_from_the_tse_mac " (rect 278 441 421 457)(font "Arial" (font_size 8)))
+(line (pt 432 448)(pt 448 448)(line_width 1))
)
(port
-(pt 528 464)
+(pt 448 464)
(output)
-(text "gm_tx_err_from_the_triple_speed_ethernet_0 " (rect 0 0 220 16)(font "Arial" (font_size 8)))
-(text "gm_tx_err_from_the_triple_speed_ethernet_0 " (rect 281 457 501 473)(font "Arial" (font_size 8)))
-(line (pt 512 464)(pt 528 464)(line_width 1))
+(text "gm_tx_err_from_the_tse_mac " (rect 0 0 144 16)(font "Arial" (font_size 8)))
+(text "gm_tx_err_from_the_tse_mac " (rect 277 457 421 473)(font "Arial" (font_size 8)))
+(line (pt 432 464)(pt 448 464)(line_width 1))
)
(port
-(pt 528 480)
+(pt 448 480)
(output)
-(text "m_tx_d_from_the_triple_speed_ethernet_0[3..0] " (rect 0 0 230 16)(font "Arial" (font_size 8)))
-(text "m_tx_d_from_the_triple_speed_ethernet_0[3..0] " (rect 270 473 501 489)(font "Arial" (font_size 8)))
-(line (pt 512 480)(pt 528 480)(line_width 3))
+(text "m_tx_d_from_the_tse_mac[3..0] " (rect 0 0 155 16)(font "Arial" (font_size 8)))
+(text "m_tx_d_from_the_tse_mac[3..0] " (rect 266 473 421 489)(font "Arial" (font_size 8)))
+(line (pt 432 480)(pt 448 480)(line_width 3))
)
(port
-(pt 528 496)
+(pt 448 496)
(output)
-(text "m_tx_en_from_the_triple_speed_ethernet_0 " (rect 0 0 212 16)(font "Arial" (font_size 8)))
-(text "m_tx_en_from_the_triple_speed_ethernet_0 " (rect 288 489 501 505)(font "Arial" (font_size 8)))
-(line (pt 512 496)(pt 528 496)(line_width 1))
+(text "m_tx_en_from_the_tse_mac " (rect 0 0 137 16)(font "Arial" (font_size 8)))
+(text "m_tx_en_from_the_tse_mac " (rect 284 489 421 505)(font "Arial" (font_size 8)))
+(line (pt 432 496)(pt 448 496)(line_width 1))
)
(port
-(pt 528 512)
+(pt 448 512)
(output)
-(text "m_tx_err_from_the_triple_speed_ethernet_0 " (rect 0 0 214 16)(font "Arial" (font_size 8)))
-(text "m_tx_err_from_the_triple_speed_ethernet_0 " (rect 287 505 501 521)(font "Arial" (font_size 8)))
-(line (pt 512 512)(pt 528 512)(line_width 1))
+(text "m_tx_err_from_the_tse_mac " (rect 0 0 138 16)(font "Arial" (font_size 8)))
+(text "m_tx_err_from_the_tse_mac " (rect 283 505 421 521)(font "Arial" (font_size 8)))
+(line (pt 432 512)(pt 448 512)(line_width 1))
)
(port
-(pt 528 528)
+(pt 448 528)
(output)
-(text "mdc_from_the_triple_speed_ethernet_0 " (rect 0 0 192 16)(font "Arial" (font_size 8)))
-(text "mdc_from_the_triple_speed_ethernet_0 " (rect 308 521 501 537)(font "Arial" (font_size 8)))
-(line (pt 512 528)(pt 528 528)(line_width 1))
+(text "mdc_from_the_tse_mac " (rect 0 0 117 16)(font "Arial" (font_size 8)))
+(text "mdc_from_the_tse_mac " (rect 304 521 421 537)(font "Arial" (font_size 8)))
+(line (pt 432 528)(pt 448 528)(line_width 1))
)
(port
-(pt 528 544)
+(pt 448 544)
(output)
-(text "mdio_oen_from_the_triple_speed_ethernet_0 " (rect 0 0 220 16)(font "Arial" (font_size 8)))
-(text "mdio_oen_from_the_triple_speed_ethernet_0 " (rect 281 537 501 553)(font "Arial" (font_size 8)))
-(line (pt 512 544)(pt 528 544)(line_width 1))
+(text "mdio_oen_from_the_tse_mac " (rect 0 0 144 16)(font "Arial" (font_size 8)))
+(text "mdio_oen_from_the_tse_mac " (rect 277 537 421 553)(font "Arial" (font_size 8)))
+(line (pt 432 544)(pt 448 544)(line_width 1))
)
(port
-(pt 528 560)
+(pt 448 560)
(output)
-(text "mdio_out_from_the_triple_speed_ethernet_0 " (rect 0 0 217 16)(font "Arial" (font_size 8)))
-(text "mdio_out_from_the_triple_speed_ethernet_0 " (rect 284 553 501 569)(font "Arial" (font_size 8)))
-(line (pt 512 560)(pt 528 560)(line_width 1))
+(text "mdio_out_from_the_tse_mac " (rect 0 0 141 16)(font "Arial" (font_size 8)))
+(text "mdio_out_from_the_tse_mac " (rect 280 553 421 569)(font "Arial" (font_size 8)))
+(line (pt 432 560)(pt 448 560)(line_width 1))
)
(port
-(pt 528 624)
+(pt 448 624)
(output)
(text "txd_from_the_uart_0 " (rect 0 0 98 16)(font "Arial" (font_size 8)))
-(text "txd_from_the_uart_0 " (rect 402 617 501 633)(font "Arial" (font_size 8)))
-(line (pt 512 624)(pt 528 624)(line_width 1))
+(text "txd_from_the_uart_0 " (rect 322 617 421 633)(font "Arial" (font_size 8)))
+(line (pt 432 624)(pt 448 624)(line_width 1))
)
(drawing
-(line (pt 16 64)(pt 511 64)(color 0 0 0)(dotted)(line_width 1))
-(line (pt 16 128)(pt 511 128)(color 0 0 0)(dotted)(line_width 1))
-(line (pt 16 288)(pt 511 288)(color 0 0 0)(dotted)(line_width 1))
-(line (pt 16 384)(pt 511 384)(color 0 0 0)(dotted)(line_width 1))
-(line (pt 16 608)(pt 511 608)(color 0 0 0)(dotted)(line_width 1))
-(rectangle (rect 16 16 512 640)(line_width 1)))
+(line (pt 16 64)(pt 431 64)(color 0 0 0)(dotted)(line_width 1))
+(line (pt 16 128)(pt 431 128)(color 0 0 0)(dotted)(line_width 1))
+(line (pt 16 288)(pt 431 288)(color 0 0 0)(dotted)(line_width 1))
+(line (pt 16 384)(pt 431 384)(color 0 0 0)(dotted)(line_width 1))
+(line (pt 16 608)(pt 431 608)(color 0 0 0)(dotted)(line_width 1))
+(rectangle (rect 16 16 432 640)(line_width 1)))
)
diff --git a/quartus/dionysos_nios2mmu.qsf b/quartus/dionysos_nios2mmu.qsf
index de2936f..f9bb63c 100644
--- a/quartus/dionysos_nios2mmu.qsf
+++ b/quartus/dionysos_nios2mmu.qsf
@@ -187,8 +187,8 @@ set_location_assignment PIN_AB9 -to et_phy1_int_2_i
set_location_assignment PIN_U11 -to et_phy1_mdc_o
set_location_assignment PIN_V11 -to et_phy1_mdio_io
set_location_assignment PIN_W10 -to rst_et_phy1_n_o
-#set_location_assignment PIN_Y10 -to gen_led_r_o[3]
-#set_location_assignment PIN_AA10 -to gen_led_g_o[3]
+set_location_assignment PIN_Y10 -to gen_led_r_o[3]
+set_location_assignment PIN_AA10 -to gen_led_g_o[3]
# FPGA bank 4
# -----------
@@ -221,15 +221,15 @@ set_location_assignment PIN_W10 -to rst_et_phy1_n_o
#set_location_assignment PIN_AB17 -to otg_dack0_n_o
#set_location_assignment PIN_AA18 -to otg_dack1_n_o
set_location_assignment PIN_AB19 -to et_phy2_rx_dv_1_i
-#set_location_assignment PIN_W17 -to gen_led_r_o[6]
+set_location_assignment PIN_W17 -to gen_led_r_o[6]
set_location_assignment PIN_Y17 -to et_phy2_tx_en_1_o
-#set_location_assignment PIN_AB20 -to gen_led_r_o[2]
-#set_location_assignment PIN_V16 -to gen_led_g_o[2]
+set_location_assignment PIN_AB20 -to gen_led_r_o[2]
+set_location_assignment PIN_V16 -to gen_led_g_o[2]
#set_location_assignment PIN_U16 -to reserve4_i
#set_location_assignment PIN_U17 -to reserve5_i
set_location_assignment PIN_T16 -to aux_clk_p_o
set_location_assignment PIN_R16 -to aux_clk_n_o
-#set_location_assignment PIN_R15 -to gen_led_g_o[6]
+set_location_assignment PIN_R15 -to gen_led_g_o[6]
# FPGA bank 5
# -----------
@@ -374,8 +374,8 @@ set_location_assignment PIN_F11 -to dram_a_o[12]
#set_location_assignment PIN_H11 -to sdfe_aux_3_4_i
#set_location_assignment PIN_H10 -to sdfe_aux_3_5_i
#set_location_assignment PIN_A5 -to sdfe_refclk_3_i
-#set_location_assignment PIN_B5 -to gen_led_r_o[1]
-#set_location_assignment PIN_G10 -to gen_led_g_o[1]
+set_location_assignment PIN_B5 -to gen_led_r_o[1]
+set_location_assignment PIN_G10 -to gen_led_g_o[1]
#set_location_assignment PIN_F10 -to sdfe_scdi_o
#set_location_assignment PIN_C6 -to sdfe_scdo_i
#set_location_assignment PIN_D7 -to sdfe_scck_o
@@ -421,6 +421,7 @@ set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to fpga_reset_n_i
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to reserve8_i
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gen_led_r_o[1]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gen_led_g_o[1]
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to et_phy_clk_50mhz_o
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
@@ -447,4 +448,5 @@ set_global_assignment -name QIP_FILE dionysos_nios2mmu.qip
set_global_assignment -name VHDL_FILE dionysos_nios2mmu.vhd
set_global_assignment -name SDC_FILE dionysos_nios2mmu.sdc
+
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file
diff --git a/quartus/dionysos_nios2mmu.sopc b/quartus/dionysos_nios2mmu.sopc
index 1fc4c41..3ceafcf 100644
--- a/quartus/dionysos_nios2mmu.sopc
+++ b/quartus/dionysos_nios2mmu.sopc
@@ -4,6 +4,11 @@
{
element jtag_uart_0.avalon_jtag_slave
{
+ datum _lockedAddress
+ {
+ value = "1";
+ type = "boolean";
+ }
datum baseAddress
{
value = "41953472";
@@ -14,7 +19,7 @@
{
datum _sortIndex
{
- value = "5";
+ value = "6";
type = "int";
}
datum megawizard_uipreferences
@@ -31,14 +36,32 @@
type = "int";
}
}
- element triple_speed_ethernet_0.control_port
+ element tse_mac.control_port
{
+ datum _lockedAddress
+ {
+ value = "1";
+ type = "boolean";
+ }
datum baseAddress
{
value = "41952256";
type = "long";
}
}
+ element sysid.control_slave
+ {
+ datum _lockedAddress
+ {
+ value = "1";
+ type = "boolean";
+ }
+ datum baseAddress
+ {
+ value = "4759552";
+ type = "long";
+ }
+ }
element cpu_0
{
datum _sortIndex
@@ -48,23 +71,33 @@
}
datum megawizard_uipreferences
{
- value = "{}";
+ value = "{output_language=VHDL, output_directory=/home/tklauser/projects/dionysos_nios2mmu/quartus}";
type = "String";
}
}
- element sgdma_tx.csr
+ element sgdma_rx.csr
{
+ datum _lockedAddress
+ {
+ value = "1";
+ type = "boolean";
+ }
datum baseAddress
{
- value = "41953344";
+ value = "41953280";
type = "long";
}
}
- element sgdma_rx.csr
+ element sgdma_tx.csr
{
+ datum _lockedAddress
+ {
+ value = "1";
+ type = "boolean";
+ }
datum baseAddress
{
- value = "41953280";
+ value = "41953344";
type = "long";
}
}
@@ -72,7 +105,7 @@
{
datum _sortIndex
{
- value = "13";
+ value = "14";
type = "int";
}
datum megawizard_uipreferences
@@ -86,6 +119,11 @@
}
element epcs_flash_controller_0.epcs_control_port
{
+ datum _lockedAddress
+ {
+ value = "1";
+ type = "boolean";
+ }
datum baseAddress
{
value = "41949184";
@@ -96,7 +134,7 @@
{
datum _sortIndex
{
- value = "7";
+ value = "8";
type = "int";
}
datum megawizard_uipreferences
@@ -107,6 +145,11 @@
}
element cpu_0.jtag_debug_module
{
+ datum _lockedAddress
+ {
+ value = "1";
+ type = "boolean";
+ }
datum baseAddress
{
value = "41947136";
@@ -117,7 +160,7 @@
{
datum _sortIndex
{
- value = "9";
+ value = "10";
type = "int";
}
datum megawizard_uipreferences
@@ -130,7 +173,7 @@
{
datum _sortIndex
{
- value = "2";
+ value = "3";
type = "int";
}
datum megawizard_uipreferences
@@ -139,61 +182,104 @@
type = "String";
}
}
- element cfi_flash_0.s1
+ element onchip_memory2_0.s1
{
+ datum _lockedAddress
+ {
+ value = "1";
+ type = "boolean";
+ }
datum baseAddress
{
- value = "37748736";
+ value = "41951232";
type = "long";
}
}
- element uart_0.s1
+ element descriptor_memory.s1
{
+ datum _lockedAddress
+ {
+ value = "1";
+ type = "boolean";
+ }
datum baseAddress
{
- value = "41953440";
+ value = "75759616";
type = "long";
}
}
- element descriptor_memory.s1
+ element sdram_0.s1
{
+ datum _lockedAddress
+ {
+ value = "1";
+ type = "boolean";
+ }
datum baseAddress
{
- value = "0";
+ value = "16777216";
type = "long";
}
}
- element onchip_memory2_0.s1
+ element timer_0.s1
{
+ datum _lockedAddress
+ {
+ value = "1";
+ type = "boolean";
+ }
datum baseAddress
{
- value = "41951232";
+ value = "41953408";
type = "long";
}
}
- element timer_0.s1
+ element watchdog_timer.s1
{
+ datum _lockedAddress
+ {
+ value = "1";
+ type = "boolean";
+ }
datum baseAddress
{
- value = "41953408";
+ value = "76021760";
type = "long";
}
}
- element sdram_0.s1
+ element cfi_flash_0.s1
{
datum _lockedAddress
{
- value = "0";
+ value = "1";
type = "boolean";
}
datum baseAddress
{
- value = "16777216";
+ value = "37748736";
+ type = "long";
+ }
+ }
+ element uart_0.s1
+ {
+ datum _lockedAddress
+ {
+ value = "1";
+ type = "boolean";
+ }
+ datum baseAddress
+ {
+ value = "41953440";
type = "long";
}
}
element onchip_memory2_0.s2
{
+ datum _lockedAddress
+ {
+ value = "1";
+ type = "boolean";
+ }
datum baseAddress
{
value = "41951232";
@@ -204,7 +290,7 @@
{
datum _sortIndex
{
- value = "3";
+ value = "4";
type = "int";
}
datum megawizard_uipreferences
@@ -217,12 +303,12 @@
{
datum _sortIndex
{
- value = "11";
+ value = "12";
type = "int";
}
datum megawizard_uipreferences
{
- value = "{}";
+ value = "{output_language=VHDL, output_directory=/home/tklauser/projects/dionysos_nios2mmu/quartus}";
type = "String";
}
}
@@ -230,7 +316,20 @@
{
datum _sortIndex
{
- value = "12";
+ value = "13";
+ type = "int";
+ }
+ datum megawizard_uipreferences
+ {
+ value = "{output_language=VHDL, output_directory=/home/tklauser/projects/dionysos_nios2mmu/quartus}";
+ type = "String";
+ }
+ }
+ element sysid
+ {
+ datum _sortIndex
+ {
+ value = "2";
type = "int";
}
datum megawizard_uipreferences
@@ -243,12 +342,12 @@
{
datum _sortIndex
{
- value = "6";
+ value = "7";
type = "int";
}
datum megawizard_uipreferences
{
- value = "{}";
+ value = "{output_language=VHDL, output_directory=/home/tklauser/projects/dionysos_nios2mmu/quartus}";
type = "String";
}
}
@@ -256,7 +355,7 @@
{
datum _sortIndex
{
- value = "4";
+ value = "5";
type = "int";
}
datum megawizard_uipreferences
@@ -265,16 +364,16 @@
type = "String";
}
}
- element triple_speed_ethernet_0
+ element tse_mac
{
datum _sortIndex
{
- value = "10";
+ value = "11";
type = "int";
}
datum megawizard_uipreferences
{
- value = "{}";
+ value = "{output_language=VHDL, output_directory=/home/tklauser/projects/dionysos_nios2mmu/quartus}";
type = "String";
}
}
@@ -282,7 +381,20 @@
{
datum _sortIndex
{
- value = "8";
+ value = "9";
+ type = "int";
+ }
+ datum megawizard_uipreferences
+ {
+ value = "{}";
+ type = "String";
+ }
+ }
+ element watchdog_timer
+ {
+ datum _sortIndex
+ {
+ value = "15";
type = "int";
}
datum megawizard_uipreferences
@@ -298,8 +410,8 @@
<parameter name="hardcopyCompatible" value="false" />
<parameter name="hdlLanguage" value="VHDL" />
<parameter name="projectName">dionysos_nios2mmu.qpf</parameter>
- <parameter name="systemHash" value="-62283505486" />
- <parameter name="timeStamp" value="1280742475274" />
+ <parameter name="systemHash" value="-77315762035" />
+ <parameter name="timeStamp" value="1295440989718" />
<module name="clk_0" kind="clock_source" version="9.1" enabled="1">
<parameter name="clockFrequency" value="50000000" />
<parameter name="clockFrequencyKnown" value="true" />
@@ -336,7 +448,7 @@
<parameter name="setting_activateModelChecker" value="false" />
<parameter name="setting_HDLSimCachesCleared" value="true" />
<parameter name="setting_HBreakTest" value="false" />
- <parameter name="resetSlave" value="cfi_flash_0.s1" />
+ <parameter name="resetSlave" value="sdram_0.s1" />
<parameter name="resetOffset" value="0" />
<parameter name="muldiv_multiplierType" value="EmbeddedMulFast" />
<parameter name="muldiv_divider" value="true" />
@@ -501,11 +613,7 @@
<parameter name="useShallowMemBlocks" value="false" />
<parameter name="writable" value="true" />
</module>
- <module
- name="triple_speed_ethernet_0"
- kind="triple_speed_ethernet"
- version="9.1"
- enabled="1">
+ <module name="tse_mac" kind="triple_speed_ethernet" version="9.1" enabled="1">
<parameter name="atlanticSinkClockRate" value="0" />
<parameter name="atlanticSinkClockSource" value="unassigned" />
<parameter name="atlanticSourceClockRate" value="0" />
@@ -622,6 +730,22 @@
<parameter name="transferMode" value="MEMORY_TO_STREAM" />
<parameter name="writeBurstcountWidth" value="4" />
</module>
+ <module
+ name="watchdog_timer"
+ kind="altera_avalon_timer"
+ version="9.1"
+ enabled="1">
+ <parameter name="alwaysRun" value="true" />
+ <parameter name="counterSize" value="32" />
+ <parameter name="fixedPeriod" value="true" />
+ <parameter name="period" value="10" />
+ <parameter name="periodUnits" value="SEC" />
+ <parameter name="resetOutput" value="true" />
+ <parameter name="snapshot" value="false" />
+ <parameter name="timeoutPulseOutput" value="false" />
+ <parameter name="timerPreset" value="WATCHDOG" />
+ </module>
+ <module name="sysid" kind="altera_avalon_sysid" version="9.1" enabled="1" />
<connection kind="clock" version="9.1" start="clk_0.clk" end="cpu_0.clk" />
<connection
kind="avalon"
@@ -780,17 +904,17 @@
kind="clock"
version="9.1"
start="clk_0.clk"
- end="triple_speed_ethernet_0.receive_clock_connection" />
+ end="tse_mac.receive_clock_connection" />
<connection
kind="clock"
version="9.1"
start="clk_0.clk"
- end="triple_speed_ethernet_0.transmit_clock_connection" />
+ end="tse_mac.transmit_clock_connection" />
<connection
kind="avalon"
version="6.1"
start="cpu_0.data_master"
- end="triple_speed_ethernet_0.control_port">
+ end="tse_mac.control_port">
<parameter name="arbitrationPriority" value="1" />
<parameter name="baseAddress" value="0x02802400" />
</connection>
@@ -798,7 +922,7 @@
kind="clock"
version="9.1"
start="clk_0.clk"
- end="triple_speed_ethernet_0.control_port_clock_connection" />
+ end="tse_mac.control_port_clock_connection" />
<connection kind="clock" version="9.1" start="clk_0.clk" end="sgdma_rx.clk" />
<connection
kind="avalon"
@@ -842,7 +966,7 @@
start="sgdma_rx.descriptor_read"
end="descriptor_memory.s1">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x0000" />
+ <parameter name="baseAddress" value="0x04840000" />
</connection>
<connection
kind="avalon"
@@ -850,7 +974,7 @@
start="sgdma_rx.descriptor_write"
end="descriptor_memory.s1">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x0000" />
+ <parameter name="baseAddress" value="0x04840000" />
</connection>
<connection kind="avalon" version="6.1" start="sgdma_rx.m_write" end="sdram_0.s1">
<parameter name="arbitrationPriority" value="1" />
@@ -859,13 +983,13 @@
<connection
kind="avalon_streaming"
version="9.1"
- start="triple_speed_ethernet_0.receive"
+ start="tse_mac.receive"
end="sgdma_rx.in" />
<connection
kind="avalon_streaming"
version="9.1"
start="sgdma_tx.out"
- end="triple_speed_ethernet_0.transmit" />
+ end="tse_mac.transmit" />
<connection kind="avalon" version="6.1" start="sgdma_tx.m_read" end="sdram_0.s1">
<parameter name="arbitrationPriority" value="1" />
<parameter name="baseAddress" value="0x01000000" />
@@ -876,7 +1000,7 @@
start="sgdma_tx.descriptor_read"
end="descriptor_memory.s1">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x0000" />
+ <parameter name="baseAddress" value="0x04840000" />
</connection>
<connection
kind="avalon"
@@ -884,7 +1008,7 @@
start="sgdma_tx.descriptor_write"
end="descriptor_memory.s1">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x0000" />
+ <parameter name="baseAddress" value="0x04840000" />
</connection>
<connection
kind="avalon"
@@ -892,6 +1016,31 @@
start="cpu_0.data_master"
end="descriptor_memory.s1">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x0000" />
+ <parameter name="baseAddress" value="0x04840000" />
+ </connection>
+ <connection kind="clock" version="9.1" start="clk_0.clk" end="watchdog_timer.clk" />
+ <connection
+ kind="avalon"
+ version="6.1"
+ start="cpu_0.data_master"
+ end="watchdog_timer.s1">
+ <parameter name="arbitrationPriority" value="1" />
+ <parameter name="baseAddress" value="0x04880000" />
+ </connection>
+ <connection
+ kind="interrupt"
+ version="9.1"
+ start="cpu_0.d_irq"
+ end="watchdog_timer.irq">
+ <parameter name="irqNumber" value="6" />
+ </connection>
+ <connection kind="clock" version="9.1" start="clk_0.clk" end="sysid.clk" />
+ <connection
+ kind="avalon"
+ version="6.1"
+ start="cpu_0.data_master"
+ end="sysid.control_slave">
+ <parameter name="arbitrationPriority" value="1" />
+ <parameter name="baseAddress" value="0x0048a000" />
</connection>
</system>
diff --git a/quartus/dionysos_nios2mmu.sopcinfo b/quartus/dionysos_nios2mmu.sopcinfo
index 5464541..24c252a 100644
--- a/quartus/dionysos_nios2mmu.sopcinfo
+++ b/quartus/dionysos_nios2mmu.sopcinfo
@@ -4,7 +4,7 @@
kind="com_altera_sopcmodel_ensemble_Ensemble"
version="9.1">
<!-- Format version 9.1sp2 350 (Future versions may contain additional information.) -->
- <!-- 2010.08.02.11:48:14 -->
+ <!-- 2011.01.19.13:44:07 -->
<!-- A collection of modules and connections -->
<parameter name="deviceFamily">
<type>com.altera.entityinterfaces.moduleext.IDeviceFamily$EDeviceFamily</type>
@@ -48,7 +48,7 @@
</parameter>
<parameter name="systemHash">
<type>long</type>
- <value>-62283505486</value>
+ <value>-77315762035</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -56,7 +56,7 @@
</parameter>
<parameter name="timeStamp">
<type>long</type>
- <value>1280742475274</value>
+ <value>1295440989718</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -241,21 +241,21 @@ parameters are a RESULT of the module parameters. -->
</clockDomainMember>
<clockDomainMember>
<isBridge>false</isBridge>
- <moduleName>triple_speed_ethernet_0</moduleName>
+ <moduleName>tse_mac</moduleName>
<slaveName>receive_clock_connection</slaveName>
- <name>triple_speed_ethernet_0.receive_clock_connection</name>
+ <name>tse_mac.receive_clock_connection</name>
</clockDomainMember>
<clockDomainMember>
<isBridge>false</isBridge>
- <moduleName>triple_speed_ethernet_0</moduleName>
+ <moduleName>tse_mac</moduleName>
<slaveName>transmit_clock_connection</slaveName>
- <name>triple_speed_ethernet_0.transmit_clock_connection</name>
+ <name>tse_mac.transmit_clock_connection</name>
</clockDomainMember>
<clockDomainMember>
<isBridge>false</isBridge>
- <moduleName>triple_speed_ethernet_0</moduleName>
+ <moduleName>tse_mac</moduleName>
<slaveName>control_port_clock_connection</slaveName>
- <name>triple_speed_ethernet_0.control_port_clock_connection</name>
+ <name>tse_mac.control_port_clock_connection</name>
</clockDomainMember>
<clockDomainMember>
<isBridge>false</isBridge>
@@ -275,6 +275,18 @@ parameters are a RESULT of the module parameters. -->
<slaveName>clk</slaveName>
<name>sgdma_tx.clk</name>
</clockDomainMember>
+ <clockDomainMember>
+ <isBridge>false</isBridge>
+ <moduleName>watchdog_timer</moduleName>
+ <slaveName>clk</slaveName>
+ <name>watchdog_timer.clk</name>
+ </clockDomainMember>
+ <clockDomainMember>
+ <isBridge>false</isBridge>
+ <moduleName>sysid</moduleName>
+ <slaveName>clk</slaveName>
+ <name>sysid.clk</name>
+ </clockDomainMember>
</interface>
<interface name="clk_in" kind="clock_sink" version="9.1">
<!-- The connection points exposed by a module instance for the
@@ -405,7 +417,7 @@ the requested settings for a module instance. -->
</assignment>
<assignment>
<name>embeddedsw.configuration.resetSlave</name>
- <value>cfi_flash_0.s1</value>
+ <value>sdram_0.s1</value>
</assignment>
<assignment>
<name>embeddedsw.configuration.resetOffset</name>
@@ -485,7 +497,7 @@ the requested settings for a module instance. -->
</assignment>
<assignment>
<name>embeddedsw.CMacro.RESET_ADDR</name>
- <value>0xc2400000</value>
+ <value>0xc1000000</value>
</assignment>
<assignment>
<name>embeddedsw.CMacro.BREAK_ADDR</name>
@@ -537,7 +549,7 @@ the requested settings for a module instance. -->
</assignment>
<assignment>
<name>embeddedsw.CMacro.DATA_ADDR_WIDTH</name>
- <value>26</value>
+ <value>27</value>
</assignment>
<assignment>
<name>embeddedsw.CMacro.NUM_OF_SHADOW_REG_SETS</name>
@@ -793,7 +805,7 @@ the requested settings for a module instance. -->
</parameter>
<parameter name="resetSlave">
<type>com.altera.entityinterfaces.IConnectionPoint</type>
- <value>cfi_flash_0.s1</value>
+ <value>sdram_0.s1</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -2110,7 +2122,7 @@ parameters are a RESULT of the module parameters. -->
<port>
<name>d_address</name>
<direction>Output</direction>
- <width>26</width>
+ <width>27</width>
<role>address</role>
</port>
<port>
@@ -2219,9 +2231,9 @@ parameters are a RESULT of the module parameters. -->
</memoryBlock>
<memoryBlock>
<isBridge>false</isBridge>
- <moduleName>triple_speed_ethernet_0</moduleName>
+ <moduleName>tse_mac</moduleName>
<slaveName>control_port</slaveName>
- <name>triple_speed_ethernet_0.control_port</name>
+ <name>tse_mac.control_port</name>
<baseAddress>41952256</baseAddress>
<span>1024</span>
</memoryBlock>
@@ -2246,9 +2258,25 @@ parameters are a RESULT of the module parameters. -->
<moduleName>descriptor_memory</moduleName>
<slaveName>s1</slaveName>
<name>descriptor_memory.s1</name>
- <baseAddress>0</baseAddress>
+ <baseAddress>75759616</baseAddress>
<span>8192</span>
</memoryBlock>
+ <memoryBlock>
+ <isBridge>false</isBridge>
+ <moduleName>watchdog_timer</moduleName>
+ <slaveName>s1</slaveName>
+ <name>watchdog_timer.s1</name>
+ <baseAddress>76021760</baseAddress>
+ <span>32</span>
+ </memoryBlock>
+ <memoryBlock>
+ <isBridge>false</isBridge>
+ <moduleName>sysid</moduleName>
+ <slaveName>control_slave</slaveName>
+ <name>sysid.control_slave</name>
+ <baseAddress>4759552</baseAddress>
+ <span>8</span>
+ </memoryBlock>
</interface>
<interface name="d_irq" kind="interrupt_receiver" version="9.1">
<!-- The connection points exposed by a module instance for the
@@ -2344,6 +2372,13 @@ parameters are a RESULT of the module parameters. -->
<name>sgdma_tx.csr_irq</name>
<interruptNumber>5</interruptNumber>
</interrupt>
+ <interrupt>
+ <isBridge>false</isBridge>
+ <moduleName>watchdog_timer</moduleName>
+ <slaveName>irq</slaveName>
+ <name>watchdog_timer.irq</name>
+ <interruptNumber>6</interruptNumber>
+ </interrupt>
</interface>
<interface
name="tightly_coupled_data_master_0"
@@ -2605,7 +2640,7 @@ parameters are a RESULT of the module parameters. -->
<port>
<name>dcm0_address</name>
<direction>Output</direction>
- <width>26</width>
+ <width>27</width>
<role>address</role>
</port>
<port>
@@ -8665,10 +8700,7 @@ parameters are a RESULT of the module parameters. -->
</port>
</interface>
</module>
- <module
- name="triple_speed_ethernet_0"
- kind="triple_speed_ethernet"
- version="9.1">
+ <module name="tse_mac" kind="triple_speed_ethernet" version="9.1">
<!-- Describes a single module. Module parameters are
the requested settings for a module instance. -->
<assignment>
@@ -11223,7 +11255,7 @@ parameters are a RESULT of the module parameters. -->
<moduleName>descriptor_memory</moduleName>
<slaveName>s1</slaveName>
<name>descriptor_memory.s1</name>
- <baseAddress>0</baseAddress>
+ <baseAddress>75759616</baseAddress>
<span>8192</span>
</memoryBlock>
</interface>
@@ -11510,7 +11542,7 @@ parameters are a RESULT of the module parameters. -->
<moduleName>descriptor_memory</moduleName>
<slaveName>s1</slaveName>
<name>descriptor_memory.s1</name>
- <baseAddress>0</baseAddress>
+ <baseAddress>75759616</baseAddress>
<span>8192</span>
</memoryBlock>
</interface>
@@ -13551,7 +13583,7 @@ parameters are a RESULT of the module parameters. -->
<moduleName>descriptor_memory</moduleName>
<slaveName>s1</slaveName>
<name>descriptor_memory.s1</name>
- <baseAddress>0</baseAddress>
+ <baseAddress>75759616</baseAddress>
<span>8192</span>
</memoryBlock>
</interface>
@@ -13838,7 +13870,7 @@ parameters are a RESULT of the module parameters. -->
<moduleName>descriptor_memory</moduleName>
<slaveName>s1</slaveName>
<name>descriptor_memory.s1</name>
- <baseAddress>0</baseAddress>
+ <baseAddress>75759616</baseAddress>
<span>8192</span>
</memoryBlock>
</interface>
@@ -14318,6 +14350,1059 @@ parameters are a RESULT of the module parameters. -->
</port>
</interface>
</module>
+ <module name="watchdog_timer" kind="altera_avalon_timer" version="9.1">
+ <!-- Describes a single module. Module parameters are
+the requested settings for a module instance. -->
+ <assignment>
+ <name>embeddedsw.CMacro.ALWAYS_RUN</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.FIXED_PERIOD</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.SNAPSHOT</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.PERIOD</name>
+ <value>10</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.PERIOD_UNITS</name>
+ <value>"s"</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.RESET_OUTPUT</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.TIMEOUT_PULSE_OUTPUT</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.FREQ</name>
+ <value>50000000u</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.LOAD_VALUE</name>
+ <value>499999999ULL</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.COUNTER_SIZE</name>
+ <value>32</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.MULT</name>
+ <value>1.0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.TICKS_PER_SEC</name>
+ <value>1u</value>
+ </assignment>
+ <parameter name="alwaysRun">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="counterSize">
+ <type>int</type>
+ <value>32</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="fixedPeriod">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="period">
+ <type>java.lang.String</type>
+ <value>10</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="periodUnits">
+ <type>com.altera.sopcmodel.components.avalon.AlteraAvalonTimer.AlteraAvalonTimer$TimerPeriodUnit</type>
+ <value>SEC</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="resetOutput">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="snapshot">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="systemFrequency">
+ <type>long</type>
+ <value>50000000</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timeoutPulseOutput">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timerPreset">
+ <type>com.altera.sopcmodel.components.avalon.AlteraAvalonTimer.TimerPresets</type>
+ <value>WATCHDOG</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <interface name="clk" kind="clock_sink" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="clockRate">
+ <type>java.lang.Long</type>
+ <value>50000000</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockRateKnown">
+ <type>java.lang.Boolean</type>
+ <value>true</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="externallyDriven">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="ptfSchematicName">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>clock</type>
+ <isStart>false</isStart>
+ <port>
+ <name>clk</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>clk</role>
+ </port>
+ <port>
+ <name>reset_n</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>reset_n</role>
+ </port>
+ </interface>
+ <interface name="s1" kind="avalon_slave" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <assignment>
+ <name>embeddedsw.configuration.isTimerDevice</name>
+ <value>1</value>
+ </assignment>
+ <parameter name="addressAlignment">
+ <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
+ <value>NATIVE</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressGroup">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressSpan">
+ <type>long</type>
+ <value>8</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="alwaysBurstMaxBurst">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedClock">
+ <type>java.lang.String</type>
+ <value>clk</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bitsPerSymbol">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bridgesToMaster">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstOnBurstBoundariesOnly">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstcountUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="constantBurstBehavior">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="explicitAddressSpan">
+ <type>long</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="holdTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="interleaveBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isFlash">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isMemoryDevice">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isNonVolatileStorage">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="linewrapBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maximumPendingReadTransactions">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="minimumUninterruptedRunLength">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="printableDevice">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitStates">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitTime">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerIncomingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerOutgoingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setupTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timingUnits">
+ <type>com.altera.sopcmodel.avalon.TimingUnits</type>
+ <value>Cycles</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="transparentBridge">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="wellBehavedWaitrequest">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitStates">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>avalon</type>
+ <isStart>false</isStart>
+ <port>
+ <name>address</name>
+ <direction>Input</direction>
+ <width>3</width>
+ <role>address</role>
+ </port>
+ <port>
+ <name>writedata</name>
+ <direction>Input</direction>
+ <width>16</width>
+ <role>writedata</role>
+ </port>
+ <port>
+ <name>readdata</name>
+ <direction>Output</direction>
+ <width>16</width>
+ <role>readdata</role>
+ </port>
+ <port>
+ <name>chipselect</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>chipselect</role>
+ </port>
+ <port>
+ <name>write_n</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>write_n</role>
+ </port>
+ <port>
+ <name>resetrequest</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>resetrequest</role>
+ </port>
+ </interface>
+ <interface name="irq" kind="interrupt_sender" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="associatedAddressablePoint">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value>watchdog_timer.s1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="irqScheme">
+ <type>com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme</type>
+ <value>NONE</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>interrupt</type>
+ <isStart>false</isStart>
+ <port>
+ <name>irq</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>irq</role>
+ </port>
+ </interface>
+ </module>
+ <module name="sysid" kind="altera_avalon_sysid" version="9.1">
+ <!-- Describes a single module. Module parameters are
+the requested settings for a module instance. -->
+ <assignment>
+ <name>embeddedsw.CMacro.ID</name>
+ <value>6350743u</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.TIMESTAMP</name>
+ <value>1295440990u</value>
+ </assignment>
+ <parameter name="id">
+ <type>long</type>
+ <value>6350743</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timestamp">
+ <type>long</type>
+ <value>1295440990</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <interface name="clk" kind="clock_sink" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="clockRate">
+ <type>long</type>
+ <value>0</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockRateKnown">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="externallyDriven">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="ptfSchematicName">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>clock</type>
+ <isStart>false</isStart>
+ <port>
+ <name>clock</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>clk</role>
+ </port>
+ <port>
+ <name>reset_n</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>reset_n</role>
+ </port>
+ </interface>
+ <interface name="control_slave" kind="avalon_slave" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <assignment>
+ <name>embeddedsw.configuration.isFlash</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.isMemoryDevice</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.isNonVolatileStorage</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.isPrintableDevice</name>
+ <value>0</value>
+ </assignment>
+ <parameter name="addressAlignment">
+ <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
+ <value>NATIVE</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressGroup">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressSpan">
+ <type>long</type>
+ <value>2</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="alwaysBurstMaxBurst">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedClock">
+ <type>java.lang.String</type>
+ <value>clk</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bitsPerSymbol">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bridgesToMaster">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstOnBurstBoundariesOnly">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstcountUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="constantBurstBehavior">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="explicitAddressSpan">
+ <type>long</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="holdTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="interleaveBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isFlash">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isMemoryDevice">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isNonVolatileStorage">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="linewrapBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maximumPendingReadTransactions">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="minimumUninterruptedRunLength">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="printableDevice">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitStates">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitTime">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerIncomingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerOutgoingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setupTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timingUnits">
+ <type>com.altera.sopcmodel.avalon.TimingUnits</type>
+ <value>Cycles</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="transparentBridge">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="wellBehavedWaitrequest">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitStates">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>avalon</type>
+ <isStart>false</isStart>
+ <port>
+ <name>address</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>address</role>
+ </port>
+ <port>
+ <name>readdata</name>
+ <direction>Output</direction>
+ <width>32</width>
+ <role>readdata</role>
+ </port>
+ </interface>
+ </module>
<connection
name="clk_0.clk/cpu_0.clk"
kind="clock"
@@ -15331,11 +16416,11 @@ parameters are a RESULT of the module parameters. -->
<endConnectionPoint>s2</endConnectionPoint>
</connection>
<connection
- name="clk_0.clk/triple_speed_ethernet_0.receive_clock_connection"
+ name="clk_0.clk/tse_mac.receive_clock_connection"
kind="clock"
version="9.1"
start="clk_0.clk"
- end="triple_speed_ethernet_0.receive_clock_connection">
+ end="tse_mac.receive_clock_connection">
<parameter name="deviceFamily">
<type>java.lang.String</type>
<value>UNKNOWN</value>
@@ -15354,15 +16439,15 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<startModule>clk_0</startModule>
<startConnectionPoint>clk</startConnectionPoint>
- <endModule>triple_speed_ethernet_0</endModule>
+ <endModule>tse_mac</endModule>
<endConnectionPoint>receive_clock_connection</endConnectionPoint>
</connection>
<connection
- name="clk_0.clk/triple_speed_ethernet_0.transmit_clock_connection"
+ name="clk_0.clk/tse_mac.transmit_clock_connection"
kind="clock"
version="9.1"
start="clk_0.clk"
- end="triple_speed_ethernet_0.transmit_clock_connection">
+ end="tse_mac.transmit_clock_connection">
<parameter name="deviceFamily">
<type>java.lang.String</type>
<value>UNKNOWN</value>
@@ -15381,15 +16466,15 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<startModule>clk_0</startModule>
<startConnectionPoint>clk</startConnectionPoint>
- <endModule>triple_speed_ethernet_0</endModule>
+ <endModule>tse_mac</endModule>
<endConnectionPoint>transmit_clock_connection</endConnectionPoint>
</connection>
<connection
- name="cpu_0.data_master/triple_speed_ethernet_0.control_port"
+ name="cpu_0.data_master/tse_mac.control_port"
kind="avalon"
version="6.1"
start="cpu_0.data_master"
- end="triple_speed_ethernet_0.control_port">
+ end="tse_mac.control_port">
<parameter name="arbitrationPriority">
<type>int</type>
<value>1</value>
@@ -15424,15 +16509,15 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<startModule>cpu_0</startModule>
<startConnectionPoint>data_master</startConnectionPoint>
- <endModule>triple_speed_ethernet_0</endModule>
+ <endModule>tse_mac</endModule>
<endConnectionPoint>control_port</endConnectionPoint>
</connection>
<connection
- name="clk_0.clk/triple_speed_ethernet_0.control_port_clock_connection"
+ name="clk_0.clk/tse_mac.control_port_clock_connection"
kind="clock"
version="9.1"
start="clk_0.clk"
- end="triple_speed_ethernet_0.control_port_clock_connection">
+ end="tse_mac.control_port_clock_connection">
<parameter name="deviceFamily">
<type>java.lang.String</type>
<value>UNKNOWN</value>
@@ -15451,7 +16536,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<startModule>clk_0</startModule>
<startConnectionPoint>clk</startConnectionPoint>
- <endModule>triple_speed_ethernet_0</endModule>
+ <endModule>tse_mac</endModule>
<endConnectionPoint>control_port_clock_connection</endConnectionPoint>
</connection>
<connection
@@ -15707,7 +16792,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x0000</value>
+ <value>0x04840000</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -15750,7 +16835,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x0000</value>
+ <value>0x04840000</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -15821,10 +16906,10 @@ parameters are a RESULT of the module parameters. -->
<endConnectionPoint>s1</endConnectionPoint>
</connection>
<connection
- name="triple_speed_ethernet_0.receive/sgdma_rx.in"
+ name="tse_mac.receive/sgdma_rx.in"
kind="avalon_streaming"
version="9.1"
- start="triple_speed_ethernet_0.receive"
+ start="tse_mac.receive"
end="sgdma_rx.in">
<parameter name="deviceFamily">
<type>java.lang.String</type>
@@ -15842,17 +16927,17 @@ parameters are a RESULT of the module parameters. -->
<visible>true</visible>
<valid>true</valid>
</parameter>
- <startModule>triple_speed_ethernet_0</startModule>
+ <startModule>tse_mac</startModule>
<startConnectionPoint>receive</startConnectionPoint>
<endModule>sgdma_rx</endModule>
<endConnectionPoint>in</endConnectionPoint>
</connection>
<connection
- name="sgdma_tx.out/triple_speed_ethernet_0.transmit"
+ name="sgdma_tx.out/tse_mac.transmit"
kind="avalon_streaming"
version="9.1"
start="sgdma_tx.out"
- end="triple_speed_ethernet_0.transmit">
+ end="tse_mac.transmit">
<parameter name="deviceFamily">
<type>java.lang.String</type>
<value>UNKNOWN</value>
@@ -15871,7 +16956,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<startModule>sgdma_tx</startModule>
<startConnectionPoint>out</startConnectionPoint>
- <endModule>triple_speed_ethernet_0</endModule>
+ <endModule>tse_mac</endModule>
<endConnectionPoint>transmit</endConnectionPoint>
</connection>
<connection
@@ -15933,7 +17018,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x0000</value>
+ <value>0x04840000</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -15976,7 +17061,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x0000</value>
+ <value>0x04840000</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -16019,7 +17104,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x0000</value>
+ <value>0x04840000</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -16046,16 +17131,183 @@ parameters are a RESULT of the module parameters. -->
<endModule>descriptor_memory</endModule>
<endConnectionPoint>s1</endConnectionPoint>
</connection>
+ <connection
+ name="clk_0.clk/watchdog_timer.clk"
+ kind="clock"
+ version="9.1"
+ start="clk_0.clk"
+ end="watchdog_timer.clk">
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>clk_0</startModule>
+ <startConnectionPoint>clk</startConnectionPoint>
+ <endModule>watchdog_timer</endModule>
+ <endConnectionPoint>clk</endConnectionPoint>
+ </connection>
+ <connection
+ name="cpu_0.data_master/watchdog_timer.s1"
+ kind="avalon"
+ version="6.1"
+ start="cpu_0.data_master"
+ end="watchdog_timer.s1">
+ <parameter name="arbitrationPriority">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="baseAddress">
+ <type>long</type>
+ <value>0x04880000</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>cpu_0</startModule>
+ <startConnectionPoint>data_master</startConnectionPoint>
+ <endModule>watchdog_timer</endModule>
+ <endConnectionPoint>s1</endConnectionPoint>
+ </connection>
+ <connection
+ name="cpu_0.d_irq/watchdog_timer.irq"
+ kind="interrupt"
+ version="9.1"
+ start="cpu_0.d_irq"
+ end="watchdog_timer.irq">
+ <parameter name="irqNumber">
+ <type>int</type>
+ <value>6</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>cpu_0</startModule>
+ <startConnectionPoint>d_irq</startConnectionPoint>
+ <endModule>watchdog_timer</endModule>
+ <endConnectionPoint>irq</endConnectionPoint>
+ </connection>
+ <connection
+ name="clk_0.clk/sysid.clk"
+ kind="clock"
+ version="9.1"
+ start="clk_0.clk"
+ end="sysid.clk">
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>clk_0</startModule>
+ <startConnectionPoint>clk</startConnectionPoint>
+ <endModule>sysid</endModule>
+ <endConnectionPoint>clk</endConnectionPoint>
+ </connection>
+ <connection
+ name="cpu_0.data_master/sysid.control_slave"
+ kind="avalon"
+ version="6.1"
+ start="cpu_0.data_master"
+ end="sysid.control_slave">
+ <parameter name="arbitrationPriority">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="baseAddress">
+ <type>long</type>
+ <value>0x0048a000</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>cpu_0</startModule>
+ <startConnectionPoint>data_master</startConnectionPoint>
+ <endModule>sysid</endModule>
+ <endConnectionPoint>control_slave</endConnectionPoint>
+ </connection>
<plugin>
- <instanceCount>1</instanceCount>
- <name>interrupt_receiver</name>
- <type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Interrupt Receiver</displayName>
- <version>9.1</version>
- </plugin>
- <plugin>
- <instanceCount>6</instanceCount>
+ <instanceCount>7</instanceCount>
<name>interrupt</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IConnection</subtype>
@@ -16064,74 +17316,82 @@ parameters are a RESULT of the module parameters. -->
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_nios2</name>
+ <name>altera_avalon_tri_state_bridge</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Nios II Processor</displayName>
+ <displayName>Avalon-MM Tristate Bridge</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>10</instanceCount>
- <name>avalon_master</name>
+ <instanceCount>4</instanceCount>
+ <name>conduit</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Memory Mapped Master</displayName>
- <version>9.1</version>
+ <displayName>Conduit Endpoint</displayName>
+ <version>7.1</version>
</plugin>
<plugin>
- <instanceCount>2</instanceCount>
- <name>avalon_streaming_sink</name>
+ <instanceCount>1</instanceCount>
+ <name>interrupt_receiver</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Streaming Sink</displayName>
+ <displayName>Interrupt Receiver</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_jtag_uart</name>
+ <name>altera_avalon_new_sdram_controller</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>JTAG UART</displayName>
+ <displayName>SDRAM Controller</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_timer</name>
+ <name>avalon_tristate_slave</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Interval Timer</displayName>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Avalon Memory Mapped Tristate Slave</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>triple_speed_ethernet</name>
+ <name>altera_avalon_jtag_uart</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Triple-Speed Ethernet</displayName>
+ <displayName>JTAG UART</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>avalon_tristate</name>
+ <name>altera_nios2</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IConnection</subtype>
- <displayName>Avalon Memory Mapped Tristate Connection</displayName>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>Nios II Processor</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>1</instanceCount>
- <name>nios_custom_instruction_master</name>
+ <instanceCount>7</instanceCount>
+ <name>interrupt_sender</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Custom Instruction Master</displayName>
+ <displayName>Interrupt Sender</displayName>
<version>9.1</version>
</plugin>
<plugin>
+ <instanceCount>25</instanceCount>
+ <name>avalon</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IConnection</subtype>
+ <displayName>Avalon Memory Mapped Connection</displayName>
+ <version>6.1</version>
+ </plugin>
+ <plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_uart</name>
+ <name>avalon_tristate_master</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>UART (RS-232 Serial Port)</displayName>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Avalon Memory Mapped Tristate Master</displayName>
<version>9.1</version>
</plugin>
<plugin>
@@ -16143,51 +17403,35 @@ parameters are a RESULT of the module parameters. -->
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>4</instanceCount>
- <name>conduit</name>
- <type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Conduit Endpoint</displayName>
- <version>7.1</version>
- </plugin>
- <plugin>
<instanceCount>2</instanceCount>
- <name>avalon_streaming_source</name>
+ <name>altera_avalon_onchip_memory2</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Streaming Source</displayName>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>On-Chip Memory (RAM or ROM)</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>clock_source</name>
+ <name>triple_speed_ethernet</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Clock Source</displayName>
- <version>9.1</version>
- </plugin>
- <plugin>
- <instanceCount>6</instanceCount>
- <name>interrupt_sender</name>
- <type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Interrupt Sender</displayName>
+ <displayName>Triple-Speed Ethernet</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>avalon_tristate_master</name>
+ <name>avalon_tristate</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Memory Mapped Tristate Master</displayName>
+ <subtype>com.altera.entityinterfaces.IConnection</subtype>
+ <displayName>Avalon Memory Mapped Tristate Connection</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>avalon_tristate_slave</name>
+ <name>nios_custom_instruction_master</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Memory Mapped Tristate Slave</displayName>
+ <displayName>Custom Instruction Master</displayName>
<version>9.1</version>
</plugin>
<plugin>
@@ -16199,11 +17443,19 @@ parameters are a RESULT of the module parameters. -->
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>1</instanceCount>
- <name>altera_avalon_tri_state_bridge</name>
+ <instanceCount>10</instanceCount>
+ <name>avalon_master</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Avalon-MM Tristate Bridge</displayName>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Avalon Memory Mapped Master</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>2</instanceCount>
+ <name>avalon_streaming_source</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Avalon Streaming Source</displayName>
<version>9.1</version>
</plugin>
<plugin>
@@ -16215,7 +17467,7 @@ parameters are a RESULT of the module parameters. -->
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>16</instanceCount>
+ <instanceCount>18</instanceCount>
<name>clock</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IConnection</subtype>
@@ -16223,27 +17475,19 @@ parameters are a RESULT of the module parameters. -->
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>23</instanceCount>
- <name>avalon</name>
- <type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IConnection</subtype>
- <displayName>Avalon Memory Mapped Connection</displayName>
- <version>6.1</version>
- </plugin>
- <plugin>
- <instanceCount>13</instanceCount>
- <name>avalon_slave</name>
+ <instanceCount>19</instanceCount>
+ <name>clock_sink</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Memory Mapped Slave</displayName>
+ <displayName>Clock Input</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>2</instanceCount>
- <name>altera_avalon_onchip_memory2</name>
+ <instanceCount>1</instanceCount>
+ <name>clock_source</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>On-Chip Memory (RAM or ROM)</displayName>
+ <displayName>Clock Source</displayName>
<version>9.1</version>
</plugin>
<plugin>
@@ -16255,11 +17499,11 @@ parameters are a RESULT of the module parameters. -->
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>1</instanceCount>
- <name>altera_avalon_new_sdram_controller</name>
+ <instanceCount>15</instanceCount>
+ <name>avalon_slave</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>SDRAM Controller</displayName>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Avalon Memory Mapped Slave</displayName>
<version>9.1</version>
</plugin>
<plugin>
@@ -16271,13 +17515,37 @@ parameters are a RESULT of the module parameters. -->
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>17</instanceCount>
- <name>clock_sink</name>
+ <instanceCount>1</instanceCount>
+ <name>altera_avalon_sysid</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>System ID Peripheral</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>1</instanceCount>
+ <name>altera_avalon_uart</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>UART (RS-232 Serial Port)</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>2</instanceCount>
+ <name>altera_avalon_timer</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>Interval Timer</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>2</instanceCount>
+ <name>avalon_streaming_sink</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Clock Input</displayName>
+ <displayName>Avalon Streaming Sink</displayName>
<version>9.1</version>
</plugin>
<reportVersion>9.1sp2 350</reportVersion>
- <uniqueIdentifier>0023AE674DE00000012A3232FDE1</uniqueIdentifier>
+ <uniqueIdentifier>0023AE674DE00000012D9E4A9A2B</uniqueIdentifier>
</EnsembleReport>