summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorTobias Klauser <tklauser@distanz.ch>2010-06-18 11:53:45 +0200
committerTobias Klauser <tklauser@distanz.ch>2010-06-18 11:59:57 +0200
commit0fffe741a1f1da87645e2833d06bc0851e6ff28e (patch)
treefc38797913de1a693b2a149479511fca61076195
parentaaf0cef1601990fd5b1f01a893f85d141c3e486c (diff)
Add TSE MAC and hook it up (code taken from SInet)
-rw-r--r--dionysos_top.vhd318
-rw-r--r--quartus/dionysos_nios2mmu.bsf295
-rw-r--r--quartus/dionysos_nios2mmu.qsf166
-rw-r--r--quartus/dionysos_nios2mmu.sopc345
-rw-r--r--quartus/dionysos_nios2mmu.sopcinfo6582
5 files changed, 7476 insertions, 230 deletions
diff --git a/dionysos_top.vhd b/dionysos_top.vhd
index 208b967..66e0392 100644
--- a/dionysos_top.vhd
+++ b/dionysos_top.vhd
@@ -24,6 +24,10 @@ library ieee;
use ieee.numeric_std.all;
library ines_misc;
use ines_misc.reset_sync_pkg.all;
+ use ines_misc.bibuf_async_pkg.all;
+library ines_ethernet;
+ use ines_ethernet.rmii_in_out_pkg.all;
+ use ines_ethernet.mdio_interface_pkg.all;
--! \brief Top file for design on Dionysos board
entity dionysos_top is
@@ -103,8 +107,91 @@ entity dionysos_top is
flash_reset_n_o : out std_logic; --! FLASH Reset
flash_oe_n_o : out std_logic; --! FLASH Output Enable
flash_ce_n_o : out std_logic; --! FLASH Chip Enable
- fash_acc_o : out std_logic --!
+ fash_acc_o : out std_logic; --!
--@}
+
+ --!@name 100BaseTX Phy0 & Phy1 50 MHz Clock output
+ --@{
+ --! \anchor phy11_grp
+ et_phy_clk_50mhz_o : out std_logic; --! PHY clock for all RMII ethernet phys
+ et_phy1_tx_clk_1_i : in std_logic; --! PHY clock in MII mode (not used in RMII mode)
+ et_phy1_rx_clk_1_i : in std_logic; --! PHY clock in MII mode (not used in RMII mode)
+ et_phy2_rx_clk_2_i : in std_logic; --! PHY2 clock in MII mode (not used in RMII mode)
+ et_phy2_tx_clk_2_i : in std_logic; --! PHY2 clock in MII mode (not used in RMII mode)
+ et_phy2_rx_clk_1_i : in std_logic; --! PHY2 clock in MII mode (not used in RMII mode)
+ et_phy2_tx_clk_1_i : in std_logic; --! PHY2 clock in MII mode (not used in RMII mode)
+ et_phy1_rx_clk_2_i : in std_logic; --! PHY2 clock in MII mode (not used in RMII mode)
+ et_phy1_tx_clk_2_i : in std_logic; --! PHY2 clock in MII mode (not used in RMII mode)
+ --@}
+
+ --!@name 100BaseTX Phy1 Port 1
+ --@{
+ --! \anchor phy11_grp
+ et_phy1_rxd_1_i : in std_logic_vector(3 downto 0); --! Dual eth phy1: phy1 receive data
+ et_phy1_rx_dv_1_i : in std_logic; --! Dual eth phy1: phy1 rx data valid
+ et_phy1_crs_1_i : in std_logic; --! Dual eth phy1: phy1 carrier sense
+ et_phy1_col_1_i : in std_logic; --! Dual eth phy1: phy1 collision detect
+ et_phy1_txd_1_o : out std_logic_vector(3 downto 0); --! Dual eth phy1: phy1 transmit data
+ et_phy1_tx_en_1_o : out std_logic; --! Dual eth phy1: phy1 tx enable
+ et_phy1_int_1_i : in std_logic; --! Dual eth phy1: phy1 interrupt/power down (not used)
+ et_phy1_rx_er_1_i : in std_logic; --! Dual eth phy1: phy1 error (not used)
+ --@}
+
+ --!@name 100BaseTX Phy1 Port 2
+ --@{
+ --! \anchor phy12_grp
+ et_phy1_rxd_2_i : in std_logic_vector(3 downto 0); --! Dual eth phy1: phy2 receive data
+ et_phy1_rx_dv_2_i : in std_logic; --! Dual eth phy1: phy2 rx data valid
+ et_phy1_crs_2_i : in std_logic; --! Dual eth phy1: phy2 carrier sense
+ et_phy1_col_2_i : in std_logic; --! Dual eth phy1: phy2 collision detect
+ et_phy1_txd_2_o : out std_logic_vector(3 downto 0); --! Dual eth phy1: phy2 transmit data
+ et_phy1_tx_en_2_o : out std_logic; --! Dual eth phy1: phy2 tx enable
+ et_phy1_int_2_i : in std_logic; --! Dual eth phy1: phy2 interrupt/power down (not used)
+ et_phy1_rx_er_2_i : in std_logic; --! Dual eth phy1: phy2 error (not used)
+ --@}
+
+ --!@name 100BaseTX Phy2 Port 1
+ --@{
+ --! \anchor phy11_grp
+ et_phy2_rxd_1_i : in std_logic_vector(3 downto 0); --! Dual eth phy1: phy1 receive data
+ et_phy2_rx_dv_1_i : in std_logic; --! Dual eth phy1: phy1 rx data valid
+ et_phy2_crs_1_i : in std_logic; --! Dual eth phy1: phy1 carrier sense
+ et_phy2_col_1_i : in std_logic; --! Dual eth phy1: phy1 collision detect
+ et_phy2_txd_1_o : out std_logic_vector(3 downto 0); --! Dual eth phy1: phy1 transmit data
+ et_phy2_tx_en_1_o : out std_logic; --! Dual eth phy1: phy1 tx enable
+ et_phy2_int_1_i : in std_logic; --! Dual eth phy1: phy1 interrupt/power down (not used)
+ et_phy2_rx_er_1_i : in std_logic; --! Dual eth phy1: phy1 error (not used)
+ --@}
+
+ --!@name 100BaseTX Phy2 Port 2
+ --@{
+ --! \anchor phy12_grp
+ et_phy2_rxd_2_i : in std_logic_vector(3 downto 0); --! Dual eth phy1: phy2 receive data
+ et_phy2_rx_dv_2_i : in std_logic; --! Dual eth phy1: phy2 rx data valid
+ et_phy2_crs_2_i : in std_logic; --! Dual eth phy1: phy2 carrier sense
+ et_phy2_col_2_i : in std_logic; --! Dual eth phy1: phy2 collision detect
+ et_phy2_txd_2_o : out std_logic_vector(3 downto 0); --! Dual eth phy1: phy2 transmit data
+ et_phy2_tx_en_2_o : out std_logic; --! Dual eth phy1: phy2 tx enable
+ et_phy2_int_2_i : in std_logic; --! Dual eth phy1: phy2 interrupt/power down (not used)
+ et_phy2_rx_er_2_i : in std_logic; --! Dual eth phy1: phy2 error (not used)
+ --@}
+
+ --!@name Phy 1 configuration (MDIO, reset)
+ --@{
+ --! \anchor mdio_grp
+ et_phy1_mdc_o : out std_logic; --! Dual eth phy1, phy2 Management clock
+ et_phy1_mdio_io : inout std_logic; --! Dual eth phy1, phy2 data
+ rst_et_phy1_n_o : out std_logic; --! Ethernet phy reset
+ --@}
+
+ --!@name Phy 2 configuration (MDIO, reset)
+ --@{
+ --! \anchor mdio_grp
+ et_phy2_mdc_o : out std_logic; --! Dual eth phy1, phy2 Management clock
+ et_phy2_mdio_io : inout std_logic; --! Dual eth phy1, phy2 data
+ rst_et_phy2_n_o : out std_logic --! Ethernet phy reset
+ --@}
+
);
end dionysos_top;
@@ -118,11 +205,13 @@ architecture rtl of dionysos_top is
--! 25 MHz Clock
signal pll_clk_25 : std_logic;
--! 12.5 MHz Clock
- signal pll_clk_12_5 : std_logic;
+ signal pll_clk_12_5 : std_logic;
--! main design reset after reset circuit
signal reset_n : std_logic;
--! synchronous reset
signal fpga_reset_n_ff : std_logic;
+ --! synchronous reset
+ signal fpga_reset_n_ff_100 : std_logic;
--! Reset for the NIOS. Active in Ethernet Modus (no DSL)
signal reset_nios_n : std_logic;
@@ -137,9 +226,79 @@ architecture rtl of dionysos_top is
signal dram_ba : std_logic_vector(1 downto 0);
--@}
+ --! @name RX byte stream interface of ethernet phy 1
+ --! (see \subpage bs_interface_stb)
+ --@{
+ --! Ethernet phy 1 RMII receive data
+ signal et_phy1_rx_data_1 : std_logic_vector(7 downto 0);
+ signal et_phy1_rx_data_2 : std_logic_vector(7 downto 0);
+ --! Ethernet phy 1 RMII receive strobe
+ signal et_phy1_rx_stb_1 : std_logic;
+ signal et_phy1_rx_stb_2 : std_logic;
+ --! Ethernet phy 1 RMII receive data valid
+ signal et_phy1_rx_dv_1 : std_logic;
+ signal et_phy1_rx_dv_2 : std_logic;
+ --@}
+
+ --! \page bs_interface_stb Bytestream Interface (Strobe)
+ --! \image html timingdiagramme_bytestream_interface_stb.png "bytestream interface with strobe signal"
+
+ --! @name RX interface 2 of ethernet phy 2
+ --@{
+ --! Ethernet phy 2 port 2 RMII receive data
+ signal et_phy2_rx_data_2 : std_logic_vector(7 downto 0);
+ --! Ethernet phy 2 port 2 RMII receive strobe
+ signal et_phy2_rx_stb_2 : std_logic;
+ --! Ethernet phy 2 port 2 RMII receive data valid
+ signal et_phy2_rx_dv_2 : std_logic;
+ --@}
+
+ --! @name TX interface 2 of ethernet phy 2
+ --@{
+ --! Ethernet phy 2 port 2 RMII transmit data
+ signal et_phy2_txd_2 : std_logic_vector(7 downto 0);
+ --! Ethernet phy 2 port 2 RMII transmit eanble
+ signal et_phy2_tx_en_2 : std_logic;
+ --! Ethernet phy 2 port 2 RMII transmit data acknowledge
+ signal et_phy2_tx_ack_2 : std_logic;
+ --@}
+
+ --! link status of ethernet interfaces (from MDIO) \todo tidy mdio
+ signal et_phy1_link_status : std_logic_vector(3 downto 0);
+
--! synchronised on clock dip switch states
signal sw_sync : std_logic_vector(switch_i'range);
+ --! @name MDIO signals (100BaseTX phy configuration interface)
+ --@{
+ --! output enable for mdio data
+ signal et_phy1_mdio_oe : std_logic;
+ signal et_phy2_mdio_oe : std_logic;
+ --! mdio data input
+ signal et_phy1_mdio_in : std_logic;
+ signal et_phy2_mdio_in : std_logic;
+ --! mdio data output
+ signal et_phy1_mdio_out : std_logic;
+ signal et_phy2_mdio_out : std_logic;
+ --@}
+
+ --! @name Signals between TSE mac (MII mode) and RMII bridge
+ --@{
+ signal mii_txd_from_mac_0 : std_logic_vector(3 downto 0);
+ signal mii_rx_dv_to_mac_0 : std_logic;
+ signal mii_rxd_to_mac_0 : std_logic_vector(3 downto 0);
+ signal mii_tx_en_from_mac_0 : std_logic;
+ signal mii_txd_from_mac_1 : std_logic_vector(3 downto 0);
+ signal mii_rx_dv_to_mac_1 : std_logic;
+ signal mii_rxd_to_mac_1 : std_logic_vector(3 downto 0);
+ signal mii_tx_en_from_mac_1 : std_logic;
+
+ signal mac_mdio_in : std_logic;
+ signal mac_mdio_out : std_logic;
+ signal mac_mdio_en : std_logic;
+ signal mac_mdio_en_n : std_logic;
+ --@}
+
begin
--! @name Components
--@{
@@ -156,6 +315,9 @@ architecture rtl of dionysos_top is
c3 => dram_clk_o -- 50MHz clock output (-3ns)
);
+ -- generate ETH-PHY 50MHz clock
+ et_phy_clk_50mhz_o <= pll_clk_50;
+
--! synchronize reset
reset_n_sync : reset_sync
generic map(
@@ -167,6 +329,8 @@ architecture rtl of dionysos_top is
reset2_n_i => '1',
reset_n_o => fpga_reset_n_ff
);
+ rst_et_phy1_n_o <= fpga_reset_n_ff; -- reset for de RMII-PHY 0
+ rst_et_phy2_n_o <= fpga_reset_n_ff_100; -- reset for de RMII-PHY 1
--! Delay reset => Latch in Time from Power up for the RMII-Phy (min 167ms)
reset_gen : reset_sync
@@ -180,6 +344,18 @@ architecture rtl of dionysos_top is
reset_n_o => reset_n -- reset for all other components
);
+ --! Delay reset for PHY Nr. 2 => so that the two phy's aren't exactly synchron
+ reset_gen_100 : reset_sync
+ generic map(
+ STAGES => 100 --2us
+ )
+ port map(
+ clk_i => pll_clk_50,
+ reset1_n_i => fpga_reset_n_ff,
+ reset2_n_i => '1',
+ reset_n_o => fpga_reset_n_ff_100 -- reset 100 clocks delayed
+ );
+
-- NIOSII CPU
flash_reset_n_o <= '1';
fash_acc_o <= '0'; -- Hardware Write Protect input (accelerated program operations)
@@ -229,7 +405,143 @@ architecture rtl of dionysos_top is
data0_to_the_epcs_flash_controller_0 => config_data0_i,
dclk_from_the_epcs_flash_controller_0 => config_dclk_o,
sce_from_the_epcs_flash_controller_0 => config_ce_n_o,
- sdo_from_the_epcs_flash_controller_0 => config_asd0_o
+ sdo_from_the_epcs_flash_controller_0 => config_asd0_o,
+
+ -- TSE MAC
+ -- ena_10_from_the_triple_speed_ethernet_0 => ena_10_from_the_triple_speed_ethernet_0,
+ -- eth_mode_from_the_triple_speed_ethernet_0 => eth_mode_from_the_triple_speed_ethernet_0,
+ gm_rx_d_to_the_triple_speed_ethernet_0 => (others => '0'),
+ gm_rx_dv_to_the_triple_speed_ethernet_0 => '0',
+ gm_rx_err_to_the_triple_speed_ethernet_0 => '0',
+ -- gm_tx_d_from_the_triple_speed_ethernet_0 => gm_tx_d_from_the_triple_speed_ethernet_0,
+ -- gm_tx_en_from_the_triple_speed_ethernet_0 => gm_tx_en_from_the_triple_speed_ethernet_0,
+ -- gm_tx_err_from_the_triple_speed_ethernet_0 => gm_tx_err_from_the_triple_speed_ethernet_0,
+ m_rx_col_to_the_triple_speed_ethernet_0 => et_phy2_col_1_i,
+ m_rx_crs_to_the_triple_speed_ethernet_0 => '1', -- et_phy2_crs_1_i is not the same in rmii mode
+ m_rx_d_to_the_triple_speed_ethernet_0 => mii_rxd_to_mac_0,
+ m_rx_en_to_the_triple_speed_ethernet_0 => mii_rx_dv_to_mac_0,
+ m_rx_err_to_the_triple_speed_ethernet_0 => '0',
+ mdio_in_to_the_triple_speed_ethernet_0 => mac_mdio_in,
+ m_tx_d_from_the_triple_speed_ethernet_0 => mii_txd_from_mac_0,
+ m_tx_en_from_the_triple_speed_ethernet_0 => mii_tx_en_from_mac_0,
+ -- m_tx_err_from_the_triple_speed_ethernet_0 => m_tx_err_from_the_triple_speed_ethernet_0,
+ mdc_from_the_triple_speed_ethernet_0 => et_phy2_mdc_o,
+ mdio_oen_from_the_triple_speed_ethernet_0 => mac_mdio_en_n,
+ mdio_out_from_the_triple_speed_ethernet_0 => mac_mdio_out,
+ rx_clk_to_the_triple_speed_ethernet_0 => pll_clk_25,
+ set_1000_to_the_triple_speed_ethernet_0 => '0', -- tie to 0 if not used
+ set_10_to_the_triple_speed_ethernet_0 => '0', -- tie to 0 if not used
+ tx_clk_to_the_triple_speed_ethernet_0 => pll_clk_25
+ );
+
+ ---------------------------------------------------------------------
+ -- ethernet MDIO for connection between TSE and PHY 2
+ ---------------------------------------------------------------------
+
+ --! MDIO bidirectional data buffer
+ mdio_phy_2_data_buf : bibuf_async
+ port map(
+ oe => mac_mdio_en,
+ io => et_phy2_mdio_io,
+ inp => mac_mdio_out,
+ outp => mac_mdio_in
);
+ mac_mdio_en <= not mac_mdio_en_n;
+
+ ------------------------------------------------------------------------
+ -- Converts the rmii interface to the mii interface
+ ------------------------------------------------------------------------
+
+ et_phy2_txd_1_o(3 downto 2) <= "00";
+
+ rmii_phy_to_mii_mac_0 : entity ines_ethernet.rmii_phy_to_mii_mac
+ port map(
+ reset_n_i => reset_n,
+ clk_25_i => pll_clk_25,
+ clk_50_i => pll_clk_50,
+ rmii_crs_i => et_phy2_crs_1_i,
+
+ -- Transmit Path
+ mii_tx_en_i => mii_tx_en_from_mac_0,
+ mii_txd_i => mii_txd_from_mac_0,
+ rmii_tx_en_o => et_phy2_tx_en_1_o,
+ rmii_txd_o => et_phy2_txd_1_o(1 downto 0),
+
+ -- Receive Path
+ rmii_rx_dv_i => et_phy2_rx_dv_1_i,
+ rmii_rxd_i => et_phy2_rxd_1_i(1 downto 0),
+
+ mii_rx_dv_o => mii_rx_dv_to_mac_0,
+ mii_rxd_o => mii_rxd_to_mac_0
+ );
+
+ et_phy2_txd_2_o(3 downto 2) <= "00";
+
+ rmii_phy_to_mii_mac_1 : entity ines_ethernet.rmii_phy_to_mii_mac
+ port map(
+ reset_n_i => reset_n,
+ clk_25_i => pll_clk_25,
+ clk_50_i => pll_clk_50,
+ rmii_crs_i => et_phy2_crs_2_i,
+
+ -- Transmit Path
+ mii_tx_en_i => mii_tx_en_from_mac_1,
+ mii_txd_i => mii_txd_from_mac_1,
+ rmii_tx_en_o => et_phy2_tx_en_2_o,
+ rmii_txd_o => et_phy2_txd_2_o(1 downto 0),
+
+ -- Receive Path
+ rmii_rx_dv_i => et_phy2_rx_dv_2_i,
+ rmii_rxd_i => et_phy2_rxd_2_i(1 downto 0),
+
+ mii_rx_dv_o => mii_rx_dv_to_mac_1,
+ mii_rxd_o => mii_rxd_to_mac_1
+ );
+
+ ------------------------------------------------------------------------
+ -- MDIO Interface
+ ------------------------------------------------------------------------
+ --! MDIO interface for ethernet phy configuration
+ mdio : entity ines_ethernet.mdio_interface
+ generic map(
+ C_SET_TO_MII => false,
+ CLK_DIVIDER => 10, -- divider for MDC
+ CHK_INTERVAL => 50e6, -- link check interval in clk_i cycles
+-- CHK_INTERVAL => 500, -- for Testbench
+ NO_OF_PORTS => 2, -- number of ports to poll => max 4
+ ADDR_PORT_1 => 0,
+ ADDR_PORT_2 => 1,
+ ADDR_PORT_3 => 2,
+ ADDR_PORT_4 => 3)
+ port map(
+ clk_i => pll_clk_50,
+ reset_n_i => reset_n,
+ -- manual access (could be connected to the CPU interface...)
+ phy_addr_i => (others => '0'),
+ phy_reg_i => (others => '0'),
+ phy_data_i => (others => '0'),
+ phy_data_o => open,
+ send_i => '0',
+ read_i => '0',
+ busy_n_o => open,
+ -- Status out
+ link_o => et_phy1_link_status, -- 1= link OK
+ reset_phy_i => (others => '0'), -- 1= reset phy
+ -- MDIO
+ mdio_i => et_phy1_mdio_in,
+ mdio_o => et_phy1_mdio_out,
+ mdio_oe_o => et_phy1_mdio_oe,
+ mdc_o => et_phy1_mdc_o
+ );
+
+ --! MDIO bidirectional data buffer
+ mdio_data_buf : bibuf_async
+ port map(
+ oe => et_phy1_mdio_oe,
+ io => et_phy1_mdio_io,
+ inp => et_phy1_mdio_out,
+ outp => et_phy1_mdio_in
+ );
+
--@}
end rtl;
diff --git a/quartus/dionysos_nios2mmu.bsf b/quartus/dionysos_nios2mmu.bsf
index fb0209d..64435b0 100644
--- a/quartus/dionysos_nios2mmu.bsf
+++ b/quartus/dionysos_nios2mmu.bsf
@@ -1,8 +1,8 @@
(header "symbol" (version "1.1"))
(symbol
-(rect 0 0 448 432)
+(rect 0 0 528 656)
(text "dionysos_nios2mmu" (rect 4 0 136 16)(font "Arial" (font_size 10)))
-(text "inst" (rect 4 416 28 432)(font "Arial"))
+(text "inst" (rect 4 640 28 656)(font "Arial"))
(port
(pt 0 32)
(input)
@@ -27,140 +27,309 @@
(port
(pt 0 400)
(input)
+(text "gm_rx_d_to_the_triple_speed_ethernet_0[7..0] " (rect 0 0 225 16)(font "Arial" (font_size 8)))
+(text "gm_rx_d_to_the_triple_speed_ethernet_0[7..0] " (rect 20 393 245 409)(font "Arial" (font_size 8)))
+(line (pt 0 400)(pt 16 400)(line_width 3))
+)
+(port
+(pt 0 416)
+(input)
+(text "gm_rx_dv_to_the_triple_speed_ethernet_0 " (rect 0 0 206 16)(font "Arial" (font_size 8)))
+(text "gm_rx_dv_to_the_triple_speed_ethernet_0 " (rect 20 409 226 425)(font "Arial" (font_size 8)))
+(line (pt 0 416)(pt 16 416)(line_width 1))
+)
+(port
+(pt 0 432)
+(input)
+(text "gm_rx_err_to_the_triple_speed_ethernet_0 " (rect 0 0 208 16)(font "Arial" (font_size 8)))
+(text "gm_rx_err_to_the_triple_speed_ethernet_0 " (rect 20 425 228 441)(font "Arial" (font_size 8)))
+(line (pt 0 432)(pt 16 432)(line_width 1))
+)
+(port
+(pt 0 448)
+(input)
+(text "m_rx_col_to_the_triple_speed_ethernet_0 " (rect 0 0 203 16)(font "Arial" (font_size 8)))
+(text "m_rx_col_to_the_triple_speed_ethernet_0 " (rect 20 441 223 457)(font "Arial" (font_size 8)))
+(line (pt 0 448)(pt 16 448)(line_width 1))
+)
+(port
+(pt 0 464)
+(input)
+(text "m_rx_crs_to_the_triple_speed_ethernet_0 " (rect 0 0 203 16)(font "Arial" (font_size 8)))
+(text "m_rx_crs_to_the_triple_speed_ethernet_0 " (rect 20 457 223 473)(font "Arial" (font_size 8)))
+(line (pt 0 464)(pt 16 464)(line_width 1))
+)
+(port
+(pt 0 480)
+(input)
+(text "m_rx_d_to_the_triple_speed_ethernet_0[3..0] " (rect 0 0 219 16)(font "Arial" (font_size 8)))
+(text "m_rx_d_to_the_triple_speed_ethernet_0[3..0] " (rect 20 473 239 489)(font "Arial" (font_size 8)))
+(line (pt 0 480)(pt 16 480)(line_width 3))
+)
+(port
+(pt 0 496)
+(input)
+(text "m_rx_en_to_the_triple_speed_ethernet_0 " (rect 0 0 201 16)(font "Arial" (font_size 8)))
+(text "m_rx_en_to_the_triple_speed_ethernet_0 " (rect 20 489 221 505)(font "Arial" (font_size 8)))
+(line (pt 0 496)(pt 16 496)(line_width 1))
+)
+(port
+(pt 0 512)
+(input)
+(text "m_rx_err_to_the_triple_speed_ethernet_0 " (rect 0 0 202 16)(font "Arial" (font_size 8)))
+(text "m_rx_err_to_the_triple_speed_ethernet_0 " (rect 20 505 222 521)(font "Arial" (font_size 8)))
+(line (pt 0 512)(pt 16 512)(line_width 1))
+)
+(port
+(pt 0 528)
+(input)
+(text "mdio_in_to_the_triple_speed_ethernet_0 " (rect 0 0 198 16)(font "Arial" (font_size 8)))
+(text "mdio_in_to_the_triple_speed_ethernet_0 " (rect 20 521 218 537)(font "Arial" (font_size 8)))
+(line (pt 0 528)(pt 16 528)(line_width 1))
+)
+(port
+(pt 0 544)
+(input)
+(text "rx_clk_to_the_triple_speed_ethernet_0 " (rect 0 0 187 16)(font "Arial" (font_size 8)))
+(text "rx_clk_to_the_triple_speed_ethernet_0 " (rect 20 537 207 553)(font "Arial" (font_size 8)))
+(line (pt 0 544)(pt 16 544)(line_width 1))
+)
+(port
+(pt 0 560)
+(input)
+(text "set_1000_to_the_triple_speed_ethernet_0 " (rect 0 0 205 16)(font "Arial" (font_size 8)))
+(text "set_1000_to_the_triple_speed_ethernet_0 " (rect 20 553 225 569)(font "Arial" (font_size 8)))
+(line (pt 0 560)(pt 16 560)(line_width 1))
+)
+(port
+(pt 0 576)
+(input)
+(text "set_10_to_the_triple_speed_ethernet_0 " (rect 0 0 192 16)(font "Arial" (font_size 8)))
+(text "set_10_to_the_triple_speed_ethernet_0 " (rect 20 569 212 585)(font "Arial" (font_size 8)))
+(line (pt 0 576)(pt 16 576)(line_width 1))
+)
+(port
+(pt 0 592)
+(input)
+(text "tx_clk_to_the_triple_speed_ethernet_0 " (rect 0 0 186 16)(font "Arial" (font_size 8)))
+(text "tx_clk_to_the_triple_speed_ethernet_0 " (rect 20 585 206 601)(font "Arial" (font_size 8)))
+(line (pt 0 592)(pt 16 592)(line_width 1))
+)
+(port
+(pt 0 624)
+(input)
(text "rxd_to_the_uart_0 " (rect 0 0 87 16)(font "Arial" (font_size 8)))
-(text "rxd_to_the_uart_0 " (rect 20 393 107 409)(font "Arial" (font_size 8)))
-(line (pt 0 400)(pt 16 400)(line_width 1))
+(text "rxd_to_the_uart_0 " (rect 20 617 107 633)(font "Arial" (font_size 8)))
+(line (pt 0 624)(pt 16 624)(line_width 1))
)
(port
-(pt 448 80)
+(pt 528 80)
(output)
(text "dclk_from_the_epcs_flash_controller_0 " (rect 0 0 188 16)(font "Arial" (font_size 8)))
-(text "dclk_from_the_epcs_flash_controller_0 " (rect 233 73 421 89)(font "Arial" (font_size 8)))
-(line (pt 432 80)(pt 448 80)(line_width 1))
+(text "dclk_from_the_epcs_flash_controller_0 " (rect 313 73 501 89)(font "Arial" (font_size 8)))
+(line (pt 512 80)(pt 528 80)(line_width 1))
)
(port
-(pt 448 96)
+(pt 528 96)
(output)
(text "sce_from_the_epcs_flash_controller_0 " (rect 0 0 186 16)(font "Arial" (font_size 8)))
-(text "sce_from_the_epcs_flash_controller_0 " (rect 235 89 421 105)(font "Arial" (font_size 8)))
-(line (pt 432 96)(pt 448 96)(line_width 1))
+(text "sce_from_the_epcs_flash_controller_0 " (rect 315 89 501 105)(font "Arial" (font_size 8)))
+(line (pt 512 96)(pt 528 96)(line_width 1))
)
(port
-(pt 448 112)
+(pt 528 112)
(output)
(text "sdo_from_the_epcs_flash_controller_0 " (rect 0 0 186 16)(font "Arial" (font_size 8)))
-(text "sdo_from_the_epcs_flash_controller_0 " (rect 234 105 421 121)(font "Arial" (font_size 8)))
-(line (pt 432 112)(pt 448 112)(line_width 1))
+(text "sdo_from_the_epcs_flash_controller_0 " (rect 314 105 501 121)(font "Arial" (font_size 8)))
+(line (pt 512 112)(pt 528 112)(line_width 1))
)
(port
-(pt 448 144)
+(pt 528 144)
(output)
(text "zs_addr_from_the_sdram_0[11..0] " (rect 0 0 165 16)(font "Arial" (font_size 8)))
-(text "zs_addr_from_the_sdram_0[11..0] " (rect 255 137 421 153)(font "Arial" (font_size 8)))
-(line (pt 432 144)(pt 448 144)(line_width 3))
+(text "zs_addr_from_the_sdram_0[11..0] " (rect 335 137 501 153)(font "Arial" (font_size 8)))
+(line (pt 512 144)(pt 528 144)(line_width 3))
)
(port
-(pt 448 160)
+(pt 528 160)
(output)
(text "zs_ba_from_the_sdram_0[1..0] " (rect 0 0 150 16)(font "Arial" (font_size 8)))
-(text "zs_ba_from_the_sdram_0[1..0] " (rect 271 153 421 169)(font "Arial" (font_size 8)))
-(line (pt 432 160)(pt 448 160)(line_width 3))
+(text "zs_ba_from_the_sdram_0[1..0] " (rect 351 153 501 169)(font "Arial" (font_size 8)))
+(line (pt 512 160)(pt 528 160)(line_width 3))
)
(port
-(pt 448 176)
+(pt 528 176)
(output)
(text "zs_cas_n_from_the_sdram_0 " (rect 0 0 143 16)(font "Arial" (font_size 8)))
-(text "zs_cas_n_from_the_sdram_0 " (rect 278 169 421 185)(font "Arial" (font_size 8)))
-(line (pt 432 176)(pt 448 176)(line_width 1))
+(text "zs_cas_n_from_the_sdram_0 " (rect 358 169 501 185)(font "Arial" (font_size 8)))
+(line (pt 512 176)(pt 528 176)(line_width 1))
)
(port
-(pt 448 192)
+(pt 528 192)
(output)
(text "zs_cke_from_the_sdram_0 " (rect 0 0 131 16)(font "Arial" (font_size 8)))
-(text "zs_cke_from_the_sdram_0 " (rect 290 185 421 201)(font "Arial" (font_size 8)))
-(line (pt 432 192)(pt 448 192)(line_width 1))
+(text "zs_cke_from_the_sdram_0 " (rect 370 185 501 201)(font "Arial" (font_size 8)))
+(line (pt 512 192)(pt 528 192)(line_width 1))
)
(port
-(pt 448 208)
+(pt 528 208)
(output)
(text "zs_cs_n_from_the_sdram_0 " (rect 0 0 137 16)(font "Arial" (font_size 8)))
-(text "zs_cs_n_from_the_sdram_0 " (rect 284 201 421 217)(font "Arial" (font_size 8)))
-(line (pt 432 208)(pt 448 208)(line_width 1))
+(text "zs_cs_n_from_the_sdram_0 " (rect 364 201 501 217)(font "Arial" (font_size 8)))
+(line (pt 512 208)(pt 528 208)(line_width 1))
)
(port
-(pt 448 224)
+(pt 528 224)
(bidir)
(text "zs_dq_to_and_from_the_sdram_0[15..0] " (rect 0 0 195 16)(font "Arial" (font_size 8)))
-(text "zs_dq_to_and_from_the_sdram_0[15..0] " (rect 226 217 421 233)(font "Arial" (font_size 8)))
-(line (pt 432 224)(pt 448 224)(line_width 3))
+(text "zs_dq_to_and_from_the_sdram_0[15..0] " (rect 306 217 501 233)(font "Arial" (font_size 8)))
+(line (pt 512 224)(pt 528 224)(line_width 3))
)
(port
-(pt 448 240)
+(pt 528 240)
(output)
(text "zs_dqm_from_the_sdram_0[1..0] " (rect 0 0 159 16)(font "Arial" (font_size 8)))
-(text "zs_dqm_from_the_sdram_0[1..0] " (rect 262 233 421 249)(font "Arial" (font_size 8)))
-(line (pt 432 240)(pt 448 240)(line_width 3))
+(text "zs_dqm_from_the_sdram_0[1..0] " (rect 342 233 501 249)(font "Arial" (font_size 8)))
+(line (pt 512 240)(pt 528 240)(line_width 3))
)
(port
-(pt 448 256)
+(pt 528 256)
(output)
(text "zs_ras_n_from_the_sdram_0 " (rect 0 0 141 16)(font "Arial" (font_size 8)))
-(text "zs_ras_n_from_the_sdram_0 " (rect 280 249 421 265)(font "Arial" (font_size 8)))
-(line (pt 432 256)(pt 448 256)(line_width 1))
+(text "zs_ras_n_from_the_sdram_0 " (rect 360 249 501 265)(font "Arial" (font_size 8)))
+(line (pt 512 256)(pt 528 256)(line_width 1))
)
(port
-(pt 448 272)
+(pt 528 272)
(output)
(text "zs_we_n_from_the_sdram_0 " (rect 0 0 139 16)(font "Arial" (font_size 8)))
-(text "zs_we_n_from_the_sdram_0 " (rect 282 265 421 281)(font "Arial" (font_size 8)))
-(line (pt 432 272)(pt 448 272)(line_width 1))
+(text "zs_we_n_from_the_sdram_0 " (rect 362 265 501 281)(font "Arial" (font_size 8)))
+(line (pt 512 272)(pt 528 272)(line_width 1))
)
(port
-(pt 448 304)
+(pt 528 304)
(output)
(text "select_n_to_the_cfi_flash_0 " (rect 0 0 134 16)(font "Arial" (font_size 8)))
-(text "select_n_to_the_cfi_flash_0 " (rect 287 297 421 313)(font "Arial" (font_size 8)))
-(line (pt 432 304)(pt 448 304)(line_width 1))
+(text "select_n_to_the_cfi_flash_0 " (rect 367 297 501 313)(font "Arial" (font_size 8)))
+(line (pt 512 304)(pt 528 304)(line_width 1))
)
(port
-(pt 448 320)
+(pt 528 320)
(output)
(text "tri_state_bridge_0_address[21..0] " (rect 0 0 163 16)(font "Arial" (font_size 8)))
-(text "tri_state_bridge_0_address[21..0] " (rect 257 313 421 329)(font "Arial" (font_size 8)))
-(line (pt 432 320)(pt 448 320)(line_width 3))
+(text "tri_state_bridge_0_address[21..0] " (rect 337 313 501 329)(font "Arial" (font_size 8)))
+(line (pt 512 320)(pt 528 320)(line_width 3))
)
(port
-(pt 448 336)
+(pt 528 336)
(bidir)
(text "tri_state_bridge_0_data[7..0] " (rect 0 0 139 16)(font "Arial" (font_size 8)))
-(text "tri_state_bridge_0_data[7..0] " (rect 282 329 421 345)(font "Arial" (font_size 8)))
-(line (pt 432 336)(pt 448 336)(line_width 3))
+(text "tri_state_bridge_0_data[7..0] " (rect 362 329 501 345)(font "Arial" (font_size 8)))
+(line (pt 512 336)(pt 528 336)(line_width 3))
)
(port
-(pt 448 352)
+(pt 528 352)
(output)
(text "tri_state_bridge_0_readn " (rect 0 0 122 16)(font "Arial" (font_size 8)))
-(text "tri_state_bridge_0_readn " (rect 299 345 421 361)(font "Arial" (font_size 8)))
-(line (pt 432 352)(pt 448 352)(line_width 1))
+(text "tri_state_bridge_0_readn " (rect 379 345 501 361)(font "Arial" (font_size 8)))
+(line (pt 512 352)(pt 528 352)(line_width 1))
)
(port
-(pt 448 368)
+(pt 528 368)
(output)
(text "write_n_to_the_cfi_flash_0 " (rect 0 0 128 16)(font "Arial" (font_size 8)))
-(text "write_n_to_the_cfi_flash_0 " (rect 292 361 421 377)(font "Arial" (font_size 8)))
-(line (pt 432 368)(pt 448 368)(line_width 1))
+(text "write_n_to_the_cfi_flash_0 " (rect 372 361 501 377)(font "Arial" (font_size 8)))
+(line (pt 512 368)(pt 528 368)(line_width 1))
+)
+(port
+(pt 528 400)
+(output)
+(text "ena_10_from_the_triple_speed_ethernet_0 " (rect 0 0 208 16)(font "Arial" (font_size 8)))
+(text "ena_10_from_the_triple_speed_ethernet_0 " (rect 292 393 501 409)(font "Arial" (font_size 8)))
+(line (pt 512 400)(pt 528 400)(line_width 1))
+)
+(port
+(pt 528 416)
+(output)
+(text "eth_mode_from_the_triple_speed_ethernet_0 " (rect 0 0 220 16)(font "Arial" (font_size 8)))
+(text "eth_mode_from_the_triple_speed_ethernet_0 " (rect 281 409 501 425)(font "Arial" (font_size 8)))
+(line (pt 512 416)(pt 528 416)(line_width 1))
+)
+(port
+(pt 528 432)
+(output)
+(text "gm_tx_d_from_the_triple_speed_ethernet_0[7..0] " (rect 0 0 237 16)(font "Arial" (font_size 8)))
+(text "gm_tx_d_from_the_triple_speed_ethernet_0[7..0] " (rect 264 425 501 441)(font "Arial" (font_size 8)))
+(line (pt 512 432)(pt 528 432)(line_width 3))
+)
+(port
+(pt 528 448)
+(output)
+(text "gm_tx_en_from_the_triple_speed_ethernet_0 " (rect 0 0 219 16)(font "Arial" (font_size 8)))
+(text "gm_tx_en_from_the_triple_speed_ethernet_0 " (rect 282 441 501 457)(font "Arial" (font_size 8)))
+(line (pt 512 448)(pt 528 448)(line_width 1))
+)
+(port
+(pt 528 464)
+(output)
+(text "gm_tx_err_from_the_triple_speed_ethernet_0 " (rect 0 0 220 16)(font "Arial" (font_size 8)))
+(text "gm_tx_err_from_the_triple_speed_ethernet_0 " (rect 281 457 501 473)(font "Arial" (font_size 8)))
+(line (pt 512 464)(pt 528 464)(line_width 1))
+)
+(port
+(pt 528 480)
+(output)
+(text "m_tx_d_from_the_triple_speed_ethernet_0[3..0] " (rect 0 0 230 16)(font "Arial" (font_size 8)))
+(text "m_tx_d_from_the_triple_speed_ethernet_0[3..0] " (rect 270 473 501 489)(font "Arial" (font_size 8)))
+(line (pt 512 480)(pt 528 480)(line_width 3))
+)
+(port
+(pt 528 496)
+(output)
+(text "m_tx_en_from_the_triple_speed_ethernet_0 " (rect 0 0 212 16)(font "Arial" (font_size 8)))
+(text "m_tx_en_from_the_triple_speed_ethernet_0 " (rect 288 489 501 505)(font "Arial" (font_size 8)))
+(line (pt 512 496)(pt 528 496)(line_width 1))
+)
+(port
+(pt 528 512)
+(output)
+(text "m_tx_err_from_the_triple_speed_ethernet_0 " (rect 0 0 214 16)(font "Arial" (font_size 8)))
+(text "m_tx_err_from_the_triple_speed_ethernet_0 " (rect 287 505 501 521)(font "Arial" (font_size 8)))
+(line (pt 512 512)(pt 528 512)(line_width 1))
+)
+(port
+(pt 528 528)
+(output)
+(text "mdc_from_the_triple_speed_ethernet_0 " (rect 0 0 192 16)(font "Arial" (font_size 8)))
+(text "mdc_from_the_triple_speed_ethernet_0 " (rect 308 521 501 537)(font "Arial" (font_size 8)))
+(line (pt 512 528)(pt 528 528)(line_width 1))
+)
+(port
+(pt 528 544)
+(output)
+(text "mdio_oen_from_the_triple_speed_ethernet_0 " (rect 0 0 220 16)(font "Arial" (font_size 8)))
+(text "mdio_oen_from_the_triple_speed_ethernet_0 " (rect 281 537 501 553)(font "Arial" (font_size 8)))
+(line (pt 512 544)(pt 528 544)(line_width 1))
+)
+(port
+(pt 528 560)
+(output)
+(text "mdio_out_from_the_triple_speed_ethernet_0 " (rect 0 0 217 16)(font "Arial" (font_size 8)))
+(text "mdio_out_from_the_triple_speed_ethernet_0 " (rect 284 553 501 569)(font "Arial" (font_size 8)))
+(line (pt 512 560)(pt 528 560)(line_width 1))
)
(port
-(pt 448 400)
+(pt 528 624)
(output)
(text "txd_from_the_uart_0 " (rect 0 0 98 16)(font "Arial" (font_size 8)))
-(text "txd_from_the_uart_0 " (rect 322 393 421 409)(font "Arial" (font_size 8)))
-(line (pt 432 400)(pt 448 400)(line_width 1))
+(text "txd_from_the_uart_0 " (rect 402 617 501 633)(font "Arial" (font_size 8)))
+(line (pt 512 624)(pt 528 624)(line_width 1))
)
(drawing
-(line (pt 16 64)(pt 431 64)(color 0 0 0)(dotted)(line_width 1))
-(line (pt 16 128)(pt 431 128)(color 0 0 0)(dotted)(line_width 1))
-(line (pt 16 288)(pt 431 288)(color 0 0 0)(dotted)(line_width 1))
-(line (pt 16 384)(pt 431 384)(color 0 0 0)(dotted)(line_width 1))
-(rectangle (rect 16 16 432 416)(line_width 1)))
+(line (pt 16 64)(pt 511 64)(color 0 0 0)(dotted)(line_width 1))
+(line (pt 16 128)(pt 511 128)(color 0 0 0)(dotted)(line_width 1))
+(line (pt 16 288)(pt 511 288)(color 0 0 0)(dotted)(line_width 1))
+(line (pt 16 384)(pt 511 384)(color 0 0 0)(dotted)(line_width 1))
+(line (pt 16 608)(pt 511 608)(color 0 0 0)(dotted)(line_width 1))
+(rectangle (rect 16 16 512 640)(line_width 1)))
)
diff --git a/quartus/dionysos_nios2mmu.qsf b/quartus/dionysos_nios2mmu.qsf
index 2aa3d7f..de2936f 100644
--- a/quartus/dionysos_nios2mmu.qsf
+++ b/quartus/dionysos_nios2mmu.qsf
@@ -54,18 +54,18 @@ set_global_assignment -name NUM_PARALLEL_PROCESSORS ALL
# Clock inputs
# ------------
set_location_assignment PIN_G2 -to clock_50_i
-#set_location_assignment PIN_T2 -to et_phy1_tx_clk_1_i
-#set_location_assignment PIN_T1 -to et_phy1_rx_clk_1_i
+set_location_assignment PIN_T2 -to et_phy1_tx_clk_1_i
+set_location_assignment PIN_T1 -to et_phy1_rx_clk_1_i
#set_location_assignment PIN_G21 -to usb_clk_i
#set_location_assignment PIN_G22 -to sdfe_clk_20mhz_i
-#set_location_assignment PIN_T21 -to et_phy2_rx_clk_2_i
-#set_location_assignment PIN_T22 -to et_phy2_tx_clk_2_i
+set_location_assignment PIN_T21 -to et_phy2_rx_clk_2_i
+set_location_assignment PIN_T22 -to et_phy2_tx_clk_2_i
set_location_assignment PIN_A12 -to aux_clk_n_i
set_location_assignment PIN_B12 -to aux_clk_p_i
-#set_location_assignment PIN_AB12 -to et_phy2_rx_clk_1_i
-#set_location_assignment PIN_AA12 -to et_phy2_tx_clk_1_i
-#set_location_assignment PIN_AB11 -to et_phy1_rx_clk_2_i
-#set_location_assignment PIN_AA11 -to et_phy1_tx_clk_2_i
+set_location_assignment PIN_AB12 -to et_phy2_rx_clk_1_i
+set_location_assignment PIN_AA12 -to et_phy2_tx_clk_1_i
+set_location_assignment PIN_AB11 -to et_phy1_rx_clk_2_i
+set_location_assignment PIN_AA11 -to et_phy1_tx_clk_2_i
# FPGA programming pins
# ---------------------
@@ -152,32 +152,32 @@ set_location_assignment PIN_J2 -to gp_led_o[3]
# FPGA bank 3
# -----------
-#set_location_assignment PIN_V5 -to et_phy1_rx_dv_1_i
-#set_location_assignment PIN_U7 -to et_phy1_rx_er_1_i
-#set_location_assignment PIN_U8 -to et_phy1_crs_1_i
-#set_location_assignment PIN_Y4 -to et_phy1_rxd_1_i[0]
-#set_location_assignment PIN_Y3 -to et_phy1_rxd_1_i[1]
-#set_location_assignment PIN_Y6 -to et_phy1_rxd_1_i[2]
+set_location_assignment PIN_V5 -to et_phy1_rx_dv_1_i
+set_location_assignment PIN_U7 -to et_phy1_rx_er_1_i
+set_location_assignment PIN_U8 -to et_phy1_crs_1_i
+set_location_assignment PIN_Y4 -to et_phy1_rxd_1_i[0]
+set_location_assignment PIN_Y3 -to et_phy1_rxd_1_i[1]
+set_location_assignment PIN_Y6 -to et_phy1_rxd_1_i[2]
#set_location_assignment PIN_AA3 -to ssram_clk_o
-set_location_assignment PIN_AB3 -to reserve7_i
-#set_location_assignment PIN_W6 -to et_phy1_rxd_1_i[3]
-#set_location_assignment PIN_V7 -to et_phy1_col_1_i
-#set_location_assignment PIN_AB4 -to et_phy1_tx_en_1_o
-#set_location_assignment PIN_AA5 -to et_phy1_txd_1_o[0]
-#set_location_assignment PIN_AB5 -to et_phy1_txd_1_o[1]
-#set_location_assignment PIN_T8 -to et_phy1_txd_1_o[2]
-#set_location_assignment PIN_T9 -to et_phy1_txd_1_o[3]
-#set_location_assignment PIN_W7 -to et_phy1_int_1_i
-set_location_assignment PIN_Y7 -to reserve2_i
-#set_location_assignment PIN_U9 -to et_phy1_rx_dv_2_i
-#set_location_assignment PIN_V8 -to et_phy1_rx_er_2_i
-#set_location_assignment PIN_W8 -to et_phy1_crs_2_i
-#set_location_assignment PIN_AA7 -to et_phy1_rxd_2_i[0]
-#set_location_assignment PIN_AB7 -to et_phy1_rxd_2_i[1]
-#set_location_assignment PIN_Y8 -to et_phy1_rxd_2_i[2]
-#set_location_assignment PIN_T10 -to et_phy1_rxd_2_i[3]
-#set_location_assignment PIN_T11 -to et_phy1_col_2_i
-set_location_assignment PIN_V9 -to reserve3_i
+#set_location_assignment PIN_AB3 -to reserve7_i
+set_location_assignment PIN_W6 -to et_phy1_rxd_1_i[3]
+set_location_assignment PIN_V7 -to et_phy1_col_1_i
+set_location_assignment PIN_AB4 -to et_phy1_tx_en_1_o
+set_location_assignment PIN_AA5 -to et_phy1_txd_1_o[0]
+set_location_assignment PIN_AB5 -to et_phy1_txd_1_o[1]
+set_location_assignment PIN_T8 -to et_phy1_txd_1_o[2]
+set_location_assignment PIN_T9 -to et_phy1_txd_1_o[3]
+set_location_assignment PIN_W7 -to et_phy1_int_1_i
+#set_location_assignment PIN_Y7 -to reserve2_i
+set_location_assignment PIN_U9 -to et_phy1_rx_dv_2_i
+set_location_assignment PIN_V8 -to et_phy1_rx_er_2_i
+set_location_assignment PIN_W8 -to et_phy1_crs_2_i
+set_location_assignment PIN_AA7 -to et_phy1_rxd_2_i[0]
+set_location_assignment PIN_AB7 -to et_phy1_rxd_2_i[1]
+set_location_assignment PIN_Y8 -to et_phy1_rxd_2_i[2]
+set_location_assignment PIN_T10 -to et_phy1_rxd_2_i[3]
+set_location_assignment PIN_T11 -to et_phy1_col_2_i
+#set_location_assignment PIN_V9 -to reserve3_i
set_location_assignment PIN_V10 -to et_phy1_tx_en_2_o
set_location_assignment PIN_U10 -to et_phy1_txd_2_o[0]
set_location_assignment PIN_AA8 -to et_phy1_txd_2_o[1]
@@ -186,9 +186,9 @@ set_location_assignment PIN_AA9 -to et_phy1_txd_2_o[3]
set_location_assignment PIN_AB9 -to et_phy1_int_2_i
set_location_assignment PIN_U11 -to et_phy1_mdc_o
set_location_assignment PIN_V11 -to et_phy1_mdio_io
-#set_location_assignment PIN_W10 -to rst_et_phy1_n_o
-set_location_assignment PIN_Y10 -to gen_led_r_o[3]
-set_location_assignment PIN_AA10 -to gen_led_g_o[3]
+set_location_assignment PIN_W10 -to rst_et_phy1_n_o
+#set_location_assignment PIN_Y10 -to gen_led_r_o[3]
+#set_location_assignment PIN_AA10 -to gen_led_g_o[3]
# FPGA bank 4
# -----------
@@ -220,42 +220,42 @@ set_location_assignment PIN_AA10 -to gen_led_g_o[3]
#set_location_assignment PIN_AA17 -to otg_dreq1_i
#set_location_assignment PIN_AB17 -to otg_dack0_n_o
#set_location_assignment PIN_AA18 -to otg_dack1_n_o
-#set_location_assignment PIN_AB19 -to et_phy2_rx_dv_1_i
-set_location_assignment PIN_W17 -to gen_led_r_o[6]
-#set_location_assignment PIN_Y17 -to et_phy2_tx_en_1_o
-set_location_assignment PIN_AB20 -to gen_led_r_o[2]
-set_location_assignment PIN_V16 -to gen_led_g_o[2]
-set_location_assignment PIN_U16 -to reserve4_i
-set_location_assignment PIN_U17 -to reserve5_i
+set_location_assignment PIN_AB19 -to et_phy2_rx_dv_1_i
+#set_location_assignment PIN_W17 -to gen_led_r_o[6]
+set_location_assignment PIN_Y17 -to et_phy2_tx_en_1_o
+#set_location_assignment PIN_AB20 -to gen_led_r_o[2]
+#set_location_assignment PIN_V16 -to gen_led_g_o[2]
+#set_location_assignment PIN_U16 -to reserve4_i
+#set_location_assignment PIN_U17 -to reserve5_i
set_location_assignment PIN_T16 -to aux_clk_p_o
set_location_assignment PIN_R16 -to aux_clk_n_o
-set_location_assignment PIN_R15 -to gen_led_g_o[6]
+#set_location_assignment PIN_R15 -to gen_led_g_o[6]
# FPGA bank 5
# -----------
-#set_location_assignment PIN_AA22 -to et_phy2_txd_2_o[3]
-#set_location_assignment PIN_AA21 -to et_phy2_txd_2_o[2]
-#set_location_assignment PIN_T17 -to et_phy2_txd_2_o[1]
-#set_location_assignment PIN_T18 -to et_phy2_txd_2_o[0]
-#set_location_assignment PIN_W20 -to et_phy2_txd_1_o[3]
-#set_location_assignment PIN_W19 -to et_phy2_txd_1_o[2]
-#set_location_assignment PIN_Y22 -to et_phy2_txd_1_o[1]
-#set_location_assignment PIN_Y21 -to et_phy2_txd_1_o[0]
-#set_location_assignment PIN_U20 -to et_phy2_rxd_2_i[3]
-#set_location_assignment PIN_U19 -to et_phy2_rxd_2_i[2]
-#set_location_assignment PIN_W22 -to et_phy2_rxd_2_i[1]
-#set_location_assignment PIN_W21 -to et_phy2_rxd_2_i[0]
-#set_location_assignment PIN_P15 -to et_phy2_rxd_1_i[3]
-#set_location_assignment PIN_P16 -to et_phy2_rxd_1_i[2]
-#set_location_assignment PIN_R17 -to et_phy2_rxd_1_i[1]
-#set_location_assignment PIN_P17 -to et_phy2_rxd_1_i[0]
-#set_location_assignment PIN_V22 -to et_phy2_rx_er_1_i
-#set_location_assignment PIN_V21 -to et_phy2_crs_2_i
-#set_location_assignment PIN_R20 -to rst_et_phy2_n_o
-#set_location_assignment PIN_U22 -to et_phy2_rx_er_2_i
-#set_location_assignment PIN_U21 -to et_phy2_col_2_i
-#set_location_assignment PIN_R18 -to et_phy2_crs_1_i
-#set_location_assignment PIN_R19 -to et_phy2_col_1_i
+set_location_assignment PIN_AA22 -to et_phy2_txd_2_o[3]
+set_location_assignment PIN_AA21 -to et_phy2_txd_2_o[2]
+set_location_assignment PIN_T17 -to et_phy2_txd_2_o[1]
+set_location_assignment PIN_T18 -to et_phy2_txd_2_o[0]
+set_location_assignment PIN_W20 -to et_phy2_txd_1_o[3]
+set_location_assignment PIN_W19 -to et_phy2_txd_1_o[2]
+set_location_assignment PIN_Y22 -to et_phy2_txd_1_o[1]
+set_location_assignment PIN_Y21 -to et_phy2_txd_1_o[0]
+set_location_assignment PIN_U20 -to et_phy2_rxd_2_i[3]
+set_location_assignment PIN_U19 -to et_phy2_rxd_2_i[2]
+set_location_assignment PIN_W22 -to et_phy2_rxd_2_i[1]
+set_location_assignment PIN_W21 -to et_phy2_rxd_2_i[0]
+set_location_assignment PIN_P15 -to et_phy2_rxd_1_i[3]
+set_location_assignment PIN_P16 -to et_phy2_rxd_1_i[2]
+set_location_assignment PIN_R17 -to et_phy2_rxd_1_i[1]
+set_location_assignment PIN_P17 -to et_phy2_rxd_1_i[0]
+set_location_assignment PIN_V22 -to et_phy2_rx_er_1_i
+set_location_assignment PIN_V21 -to et_phy2_crs_2_i
+set_location_assignment PIN_R20 -to rst_et_phy2_n_o
+set_location_assignment PIN_U22 -to et_phy2_rx_er_2_i
+set_location_assignment PIN_U21 -to et_phy2_col_2_i
+set_location_assignment PIN_R18 -to et_phy2_crs_1_i
+set_location_assignment PIN_R19 -to et_phy2_col_1_i
#set_location_assignment PIN_N16 -to mpd_io[0]
#set_location_assignment PIN_R22 -to mpd_io[1]
#set_location_assignment PIN_R21 -to mpd_io[2]
@@ -266,12 +266,12 @@ set_location_assignment PIN_R15 -to gen_led_g_o[6]
#set_location_assignment PIN_N19 -to mpd_io[7]
set_location_assignment PIN_N18 -to reserve6_i
set_location_assignment PIN_N21 -to fpga_reset_n_i
-#set_location_assignment PIN_M22 -to et_phy2_rx_dv_2_i
-#set_location_assignment PIN_M21 -to et_phy2_tx_en_2_o
-#set_location_assignment PIN_AA20 -to et_phy2_int_1_i
-#set_location_assignment PIN_M20 -to et_phy2_int_2_i
-#set_location_assignment PIN_M19 -to et_phy2_mdc_o
-#set_location_assignment PIN_M16 -to et_phy2_mdio_io
+set_location_assignment PIN_M22 -to et_phy2_rx_dv_2_i
+set_location_assignment PIN_M21 -to et_phy2_tx_en_2_o
+set_location_assignment PIN_AA20 -to et_phy2_int_1_i
+set_location_assignment PIN_M20 -to et_phy2_int_2_i
+set_location_assignment PIN_M19 -to et_phy2_mdc_o
+set_location_assignment PIN_M16 -to et_phy2_mdio_io
# FPGA bank 6
# -----------
@@ -364,7 +364,7 @@ set_location_assignment PIN_F11 -to dram_a_o[12]
#set_location_assignment PIN_C10 -to sdfe_aux_0_4_i
#set_location_assignment PIN_G11 -to sdfe_aux_0_5_i
#set_location_assignment PIN_A8 -to sdfe_refclk_0_i
-set_location_assignment PIN_B8 -to reserve8_i
+#set_location_assignment PIN_B8 -to reserve8_i
#set_location_assignment PIN_B7 -to sdfe_dout_3_i
#set_location_assignment PIN_A6 -to sdfe_din_3_o
#set_location_assignment PIN_B6 -to sdfe_aux_3_0_o
@@ -374,8 +374,8 @@ set_location_assignment PIN_B8 -to reserve8_i
#set_location_assignment PIN_H11 -to sdfe_aux_3_4_i
#set_location_assignment PIN_H10 -to sdfe_aux_3_5_i
#set_location_assignment PIN_A5 -to sdfe_refclk_3_i
-set_location_assignment PIN_B5 -to gen_led_r_o[1]
-set_location_assignment PIN_G10 -to gen_led_g_o[1]
+#set_location_assignment PIN_B5 -to gen_led_r_o[1]
+#set_location_assignment PIN_G10 -to gen_led_g_o[1]
#set_location_assignment PIN_F10 -to sdfe_scdi_o
#set_location_assignment PIN_C6 -to sdfe_scdo_i
#set_location_assignment PIN_D7 -to sdfe_scck_o
@@ -392,7 +392,7 @@ set_location_assignment PIN_G10 -to gen_led_g_o[1]
#set_location_assignment PIN_F7 -to mpad_o[2]
#set_location_assignment PIN_G7 -to mpad_o[3]
#set_location_assignment PIN_F9 -to mpad_o[4]
-#set_location_assignment PIN_E5 -to et_phy_clk_50mhz_o
+set_location_assignment PIN_E5 -to et_phy_clk_50mhz_o
# EDA Netlist Writer Assignments
# ==============================
@@ -429,6 +429,17 @@ set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
+
+
+
+
+set_global_assignment -name VHDL_FILE ../lib/ethernet/components/rmii_phy_to_mii_mac.vhd
+set_global_assignment -name VHDL_FILE ../lib/misc/components/bibuf_async.vhd
+set_global_assignment -name VHDL_FILE ../lib/misc/ines_vhdl_lib_base_pkg.vhd
+set_global_assignment -name VHDL_FILE ../lib/misc/reduce_pkg.vhd
+set_global_assignment -name VHDL_FILE ../lib/ethernet/components/mii_management_transmit.vhd
+set_global_assignment -name VHDL_FILE ../lib/ethernet/components/mdio_interface.vhd
+set_global_assignment -name VHDL_FILE ../lib/ethernet/components/rmii_in_out.vhd
set_global_assignment -name QIP_FILE ../lib/altera/sinet_pll.qip
set_global_assignment -name VHDL_FILE ../lib/misc/components/reset_sync.vhd
set_global_assignment -name VHDL_FILE ../dionysos_top.vhd
@@ -436,5 +447,4 @@ set_global_assignment -name QIP_FILE dionysos_nios2mmu.qip
set_global_assignment -name VHDL_FILE dionysos_nios2mmu.vhd
set_global_assignment -name SDC_FILE dionysos_nios2mmu.sdc
-
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file
diff --git a/quartus/dionysos_nios2mmu.sopc b/quartus/dionysos_nios2mmu.sopc
index 02ecb7e..802aed8 100644
--- a/quartus/dionysos_nios2mmu.sopc
+++ b/quartus/dionysos_nios2mmu.sopc
@@ -31,6 +31,14 @@
type = "int";
}
}
+ element triple_speed_ethernet_0.control_port
+ {
+ datum baseAddress
+ {
+ value = "0";
+ type = "long";
+ }
+ }
element cpu_0
{
datum _sortIndex
@@ -44,6 +52,35 @@
type = "String";
}
}
+ element sgdma_rx.csr
+ {
+ datum baseAddress
+ {
+ value = "1024";
+ type = "long";
+ }
+ }
+ element sgdma_tx.csr
+ {
+ datum baseAddress
+ {
+ value = "1088";
+ type = "long";
+ }
+ }
+ element descriptor_memory
+ {
+ datum _sortIndex
+ {
+ value = "13";
+ type = "int";
+ }
+ datum megawizard_uipreferences
+ {
+ value = "{}";
+ type = "String";
+ }
+ }
element dionysos_nios2mmu
{
}
@@ -102,19 +139,16 @@
type = "String";
}
}
- element onchip_memory2_0.s1
+ element sdram_0.s1
{
- datum baseAddress
+ datum _lockedAddress
{
- value = "41951232";
- type = "long";
+ value = "0";
+ type = "boolean";
}
- }
- element uart_0.s1
- {
datum baseAddress
{
- value = "41952288";
+ value = "16777216";
type = "long";
}
}
@@ -126,16 +160,19 @@
type = "long";
}
}
- element sdram_0.s1
+ element uart_0.s1
{
- datum _lockedAddress
+ datum baseAddress
{
- value = "0";
- type = "boolean";
+ value = "41952288";
+ type = "long";
}
+ }
+ element descriptor_memory.s1
+ {
datum baseAddress
{
- value = "16777216";
+ value = "8192";
type = "long";
}
}
@@ -147,6 +184,14 @@
type = "long";
}
}
+ element onchip_memory2_0.s1
+ {
+ datum baseAddress
+ {
+ value = "41951232";
+ type = "long";
+ }
+ }
element onchip_memory2_0.s2
{
datum baseAddress
@@ -168,6 +213,32 @@
type = "String";
}
}
+ element sgdma_rx
+ {
+ datum _sortIndex
+ {
+ value = "11";
+ type = "int";
+ }
+ datum megawizard_uipreferences
+ {
+ value = "{}";
+ type = "String";
+ }
+ }
+ element sgdma_tx
+ {
+ datum _sortIndex
+ {
+ value = "12";
+ type = "int";
+ }
+ datum megawizard_uipreferences
+ {
+ value = "{}";
+ type = "String";
+ }
+ }
element timer_0
{
datum _sortIndex
@@ -194,6 +265,19 @@
type = "String";
}
}
+ element triple_speed_ethernet_0
+ {
+ datum _sortIndex
+ {
+ value = "10";
+ type = "int";
+ }
+ datum megawizard_uipreferences
+ {
+ value = "{}";
+ type = "String";
+ }
+ }
element uart_0
{
datum _sortIndex
@@ -214,8 +298,8 @@
<parameter name="hardcopyCompatible" value="false" />
<parameter name="hdlLanguage" value="VHDL" />
<parameter name="projectName">dionysos_nios2mmu.qpf</parameter>
- <parameter name="systemHash" value="-23323565077" />
- <parameter name="timeStamp" value="1276249234420" />
+ <parameter name="systemHash" value="-64275773308" />
+ <parameter name="timeStamp" value="1276765439648" />
<module name="clk_0" kind="clock_source" version="9.1" enabled="1">
<parameter name="clockFrequency" value="50000000" />
<parameter name="clockFrequencyKnown" value="true" />
@@ -417,6 +501,127 @@
<parameter name="useShallowMemBlocks" value="false" />
<parameter name="writable" value="true" />
</module>
+ <module
+ name="triple_speed_ethernet_0"
+ kind="triple_speed_ethernet"
+ version="9.1"
+ enabled="1">
+ <parameter name="atlanticSinkClockRate" value="0" />
+ <parameter name="atlanticSinkClockSource" value="unassigned" />
+ <parameter name="atlanticSourceClockRate" value="0" />
+ <parameter name="atlanticSourceClockSource" value="unassigned" />
+ <parameter name="avalonSlaveClockRate" value="0" />
+ <parameter name="avalonSlaveClockSource" value="unassigned" />
+ <parameter name="avalonStNeighbours">{TRANSMIT=sgdma_tx, RECEIVE=sgdma_rx}</parameter>
+ <parameter name="channel_count" value="1" />
+ <parameter name="core_variation" value="MAC_ONLY" />
+ <parameter name="core_version" value="2305" />
+ <parameter name="crc32check16bit" value="0" />
+ <parameter name="crc32dwidth" value="8" />
+ <parameter name="crc32gendelay" value="6" />
+ <parameter name="crc32s1l2_extern" value="false" />
+ <parameter name="cust_version" value="0" />
+ <parameter name="dataBitsPerSymbol" value="8" />
+ <parameter name="dev_version" value="2305" />
+ <parameter name="deviceFamily" value="CYCLONEIII" />
+ <parameter name="eg_addr" value="10" />
+ <parameter name="ena_hash" value="true" />
+ <parameter name="enable_alt_reconfig" value="false" />
+ <parameter name="enable_clk_sharing" value="false" />
+ <parameter name="enable_ena" value="32" />
+ <parameter name="enable_fifoless" value="false" />
+ <parameter name="enable_gmii_loopback" value="false" />
+ <parameter name="enable_hd_logic" value="true" />
+ <parameter name="enable_mac_flow_ctrl" value="false" />
+ <parameter name="enable_mac_txaddr_set" value="true" />
+ <parameter name="enable_mac_vlan" value="false" />
+ <parameter name="enable_maclite" value="false" />
+ <parameter name="enable_magic_detect" value="true" />
+ <parameter name="enable_multi_channel" value="false" />
+ <parameter name="enable_pkt_class" value="true" />
+ <parameter name="enable_pma" value="false" />
+ <parameter name="enable_reg_sharing" value="false" />
+ <parameter name="enable_sgmii" value="false" />
+ <parameter name="enable_shift16" value="true" />
+ <parameter name="enable_sup_addr" value="false" />
+ <parameter name="enable_use_internal_fifo" value="true" />
+ <parameter name="export_calblkclk" value="false" />
+ <parameter name="export_pwrdn" value="false" />
+ <parameter name="ext_stat_cnt_ena" value="false" />
+ <parameter name="gigeAdvanceMode" value="true" />
+ <parameter name="ifGMII" value="MII_GMII" />
+ <parameter name="ifPCSuseEmbeddedSerdes" value="false" />
+ <parameter name="ing_addr" value="10" />
+ <parameter name="insert_ta" value="true" />
+ <parameter name="maclite_gige" value="false" />
+ <parameter name="max_channels" value="1" />
+ <parameter name="mdio_clk_div" value="20" />
+ <parameter name="phy_identifier" value="0" />
+ <parameter name="ramType" value="AUTO" />
+ <parameter name="reset_level" value="1" />
+ <parameter name="stat_cnt_ena" value="true" />
+ <parameter name="timingAdapterName" value="timingAdapter" />
+ <parameter name="toolContext" value="SOPC_BUILDER" />
+ <parameter name="transceiver_type" value="GXB" />
+ <parameter name="uiHostClockFrequency" value="0" />
+ <parameter name="uiMDIOFreq" value="0.0 MHz" />
+ <parameter name="useLvds" value="false" />
+ <parameter name="useMAC" value="true" />
+ <parameter name="useMDIO" value="true" />
+ <parameter name="usePCS" value="false" />
+ <parameter name="use_sync_reset" value="false" />
+ </module>
+ <module name="sgdma_rx" kind="altera_avalon_sgdma" version="9.1" enabled="1">
+ <parameter name="addressWidth" value="32" />
+ <parameter name="alwaysDoMaxBurst" value="true" />
+ <parameter name="dataTransferFIFODepth" value="2" />
+ <parameter name="enableBurstTransfers" value="false" />
+ <parameter name="enableDescriptorReadMasterBurst" value="false" />
+ <parameter name="enableUnalignedTransfers" value="false" />
+ <parameter name="internalFIFODepth" value="2" />
+ <parameter name="readBlockDataWidth" value="32" />
+ <parameter name="readBurstcountWidth" value="4" />
+ <parameter name="sinkErrorWidth" value="6" />
+ <parameter name="sourceErrorWidth" value="0" />
+ <parameter name="transferMode" value="STREAM_TO_MEMORY" />
+ <parameter name="writeBurstcountWidth" value="4" />
+ </module>
+ <module
+ name="descriptor_memory"
+ kind="altera_avalon_onchip_memory2"
+ version="9.1"
+ enabled="1">
+ <parameter name="allowInSystemMemoryContentEditor" value="false" />
+ <parameter name="blockType" value="AUTO" />
+ <parameter name="dataWidth" value="32" />
+ <parameter name="dualPort" value="false" />
+ <parameter name="initMemContent" value="true" />
+ <parameter name="initializationFileName" value="descriptor_memory" />
+ <parameter name="instanceID" value="NONE" />
+ <parameter name="memorySize" value="8192" />
+ <parameter name="readDuringWriteMode" value="DONT_CARE" />
+ <parameter name="simAllowMRAMContentsFile" value="false" />
+ <parameter name="slave1Latency" value="1" />
+ <parameter name="slave2Latency" value="1" />
+ <parameter name="useNonDefaultInitFile" value="false" />
+ <parameter name="useShallowMemBlocks" value="false" />
+ <parameter name="writable" value="true" />
+ </module>
+ <module name="sgdma_tx" kind="altera_avalon_sgdma" version="9.1" enabled="1">
+ <parameter name="addressWidth" value="32" />
+ <parameter name="alwaysDoMaxBurst" value="true" />
+ <parameter name="dataTransferFIFODepth" value="2" />
+ <parameter name="enableBurstTransfers" value="false" />
+ <parameter name="enableDescriptorReadMasterBurst" value="false" />
+ <parameter name="enableUnalignedTransfers" value="false" />
+ <parameter name="internalFIFODepth" value="2" />
+ <parameter name="readBlockDataWidth" value="32" />
+ <parameter name="readBurstcountWidth" value="4" />
+ <parameter name="sinkErrorWidth" value="0" />
+ <parameter name="sourceErrorWidth" value="1" />
+ <parameter name="transferMode" value="MEMORY_TO_STREAM" />
+ <parameter name="writeBurstcountWidth" value="4" />
+ </module>
<connection kind="clock" version="9.1" start="clk_0.clk" end="cpu_0.clk" />
<connection
kind="avalon"
@@ -571,4 +776,114 @@
<parameter name="arbitrationPriority" value="1" />
<parameter name="baseAddress" value="0x02802000" />
</connection>
+ <connection
+ kind="clock"
+ version="9.1"
+ start="clk_0.clk"
+ end="triple_speed_ethernet_0.receive_clock_connection" />
+ <connection
+ kind="clock"
+ version="9.1"
+ start="clk_0.clk"
+ end="triple_speed_ethernet_0.transmit_clock_connection" />
+ <connection
+ kind="avalon"
+ version="6.1"
+ start="cpu_0.data_master"
+ end="triple_speed_ethernet_0.control_port">
+ <parameter name="arbitrationPriority" value="1" />
+ <parameter name="baseAddress" value="0x0000" />
+ </connection>
+ <connection
+ kind="clock"
+ version="9.1"
+ start="clk_0.clk"
+ end="triple_speed_ethernet_0.control_port_clock_connection" />
+ <connection kind="clock" version="9.1" start="clk_0.clk" end="sgdma_rx.clk" />
+ <connection
+ kind="avalon"
+ version="6.1"
+ start="cpu_0.data_master"
+ end="sgdma_rx.csr">
+ <parameter name="arbitrationPriority" value="1" />
+ <parameter name="baseAddress" value="0x0400" />
+ </connection>
+ <connection
+ kind="interrupt"
+ version="9.1"
+ start="cpu_0.d_irq"
+ end="sgdma_rx.csr_irq">
+ <parameter name="irqNumber" value="4" />
+ </connection>
+ <connection
+ kind="clock"
+ version="9.1"
+ start="clk_0.clk"
+ end="descriptor_memory.clk1" />
+ <connection kind="clock" version="9.1" start="clk_0.clk" end="sgdma_tx.clk" />
+ <connection
+ kind="avalon"
+ version="6.1"
+ start="cpu_0.data_master"
+ end="sgdma_tx.csr">
+ <parameter name="arbitrationPriority" value="1" />
+ <parameter name="baseAddress" value="0x0440" />
+ </connection>
+ <connection
+ kind="interrupt"
+ version="9.1"
+ start="cpu_0.d_irq"
+ end="sgdma_tx.csr_irq">
+ <parameter name="irqNumber" value="5" />
+ </connection>
+ <connection
+ kind="avalon"
+ version="6.1"
+ start="sgdma_rx.descriptor_read"
+ end="descriptor_memory.s1">
+ <parameter name="arbitrationPriority" value="1" />
+ <parameter name="baseAddress" value="0x2000" />
+ </connection>
+ <connection
+ kind="avalon"
+ version="6.1"
+ start="sgdma_rx.descriptor_write"
+ end="descriptor_memory.s1">
+ <parameter name="arbitrationPriority" value="1" />
+ <parameter name="baseAddress" value="0x2000" />
+ </connection>
+ <connection kind="avalon" version="6.1" start="sgdma_rx.m_write" end="sdram_0.s1">
+ <parameter name="arbitrationPriority" value="1" />
+ <parameter name="baseAddress" value="0x01000000" />
+ </connection>
+ <connection
+ kind="avalon_streaming"
+ version="9.1"
+ start="triple_speed_ethernet_0.receive"
+ end="sgdma_rx.in" />
+ <connection
+ kind="avalon_streaming"
+ version="9.1"
+ start="sgdma_tx.out"
+ end="triple_speed_ethernet_0.transmit" />
+ <connection kind="avalon" version="6.1" start="sgdma_tx.m_read" end="sdram_0.s1">
+ <parameter name="arbitrationPriority" value="1" />
+ <parameter name="baseAddress" value="0x01000000" />
+ </connection>
+ <connection
+ kind="avalon"
+ version="6.1"
+ start="sgdma_tx.descriptor_read"
+ end="descriptor_memory.s1">
+ <parameter name="arbitrationPriority" value="1" />
+ <parameter name="baseAddress" value="0x2000" />
+ </connection>
+ <connection
+ kind="avalon"
+ version="6.1"
+ start="sgdma_tx.descriptor_write"
+ end="descriptor_memory.s1">
+ <parameter name="arbitrationPriority" value="1" />
+ <parameter name="baseAddress" value="0x2000" />
+ </connection>
</system>
diff --git a/quartus/dionysos_nios2mmu.sopcinfo b/quartus/dionysos_nios2mmu.sopcinfo
index a44e48a..eddb46e 100644
--- a/quartus/dionysos_nios2mmu.sopcinfo
+++ b/quartus/dionysos_nios2mmu.sopcinfo
@@ -4,7 +4,7 @@
kind="com_altera_sopcmodel_ensemble_Ensemble"
version="9.1">
<!-- Format version 9.1sp2 350 (Future versions may contain additional information.) -->
- <!-- 2010.06.11.11:40:41 -->
+ <!-- 2010.06.17.11:04:27 -->
<!-- A collection of modules and connections -->
<parameter name="deviceFamily">
<type>com.altera.entityinterfaces.moduleext.IDeviceFamily$EDeviceFamily</type>
@@ -48,7 +48,7 @@
</parameter>
<parameter name="systemHash">
<type>long</type>
- <value>-23323565077</value>
+ <value>-64275773308</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -56,7 +56,7 @@
</parameter>
<parameter name="timeStamp">
<type>long</type>
- <value>1276249234420</value>
+ <value>1276765439648</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -239,6 +239,42 @@ parameters are a RESULT of the module parameters. -->
<slaveName>clk2</slaveName>
<name>onchip_memory2_0.clk2</name>
</clockDomainMember>
+ <clockDomainMember>
+ <isBridge>false</isBridge>
+ <moduleName>triple_speed_ethernet_0</moduleName>
+ <slaveName>receive_clock_connection</slaveName>
+ <name>triple_speed_ethernet_0.receive_clock_connection</name>
+ </clockDomainMember>
+ <clockDomainMember>
+ <isBridge>false</isBridge>
+ <moduleName>triple_speed_ethernet_0</moduleName>
+ <slaveName>transmit_clock_connection</slaveName>
+ <name>triple_speed_ethernet_0.transmit_clock_connection</name>
+ </clockDomainMember>
+ <clockDomainMember>
+ <isBridge>false</isBridge>
+ <moduleName>triple_speed_ethernet_0</moduleName>
+ <slaveName>control_port_clock_connection</slaveName>
+ <name>triple_speed_ethernet_0.control_port_clock_connection</name>
+ </clockDomainMember>
+ <clockDomainMember>
+ <isBridge>false</isBridge>
+ <moduleName>sgdma_rx</moduleName>
+ <slaveName>clk</slaveName>
+ <name>sgdma_rx.clk</name>
+ </clockDomainMember>
+ <clockDomainMember>
+ <isBridge>false</isBridge>
+ <moduleName>descriptor_memory</moduleName>
+ <slaveName>clk1</slaveName>
+ <name>descriptor_memory.clk1</name>
+ </clockDomainMember>
+ <clockDomainMember>
+ <isBridge>false</isBridge>
+ <moduleName>sgdma_tx</moduleName>
+ <slaveName>clk</slaveName>
+ <name>sgdma_tx.clk</name>
+ </clockDomainMember>
</interface>
<interface name="clk_in" kind="clock_sink" version="9.1">
<!-- The connection points exposed by a module instance for the
@@ -2181,6 +2217,30 @@ parameters are a RESULT of the module parameters. -->
<baseAddress>41952320</baseAddress>
<span>8</span>
</memoryBlock>
+ <memoryBlock>
+ <isBridge>false</isBridge>
+ <moduleName>triple_speed_ethernet_0</moduleName>
+ <slaveName>control_port</slaveName>
+ <name>triple_speed_ethernet_0.control_port</name>
+ <baseAddress>0</baseAddress>
+ <span>1024</span>
+ </memoryBlock>
+ <memoryBlock>
+ <isBridge>false</isBridge>
+ <moduleName>sgdma_rx</moduleName>
+ <slaveName>csr</slaveName>
+ <name>sgdma_rx.csr</name>
+ <baseAddress>1024</baseAddress>
+ <span>64</span>
+ </memoryBlock>
+ <memoryBlock>
+ <isBridge>false</isBridge>
+ <moduleName>sgdma_tx</moduleName>
+ <slaveName>csr</slaveName>
+ <name>sgdma_tx.csr</name>
+ <baseAddress>1088</baseAddress>
+ <span>64</span>
+ </memoryBlock>
</interface>
<interface name="d_irq" kind="interrupt_receiver" version="9.1">
<!-- The connection points exposed by a module instance for the
@@ -2262,6 +2322,20 @@ parameters are a RESULT of the module parameters. -->
<name>jtag_uart_0.irq</name>
<interruptNumber>3</interruptNumber>
</interrupt>
+ <interrupt>
+ <isBridge>false</isBridge>
+ <moduleName>sgdma_rx</moduleName>
+ <slaveName>csr_irq</slaveName>
+ <name>sgdma_rx.csr_irq</name>
+ <interruptNumber>4</interruptNumber>
+ </interrupt>
+ <interrupt>
+ <isBridge>false</isBridge>
+ <moduleName>sgdma_tx</moduleName>
+ <slaveName>csr_irq</slaveName>
+ <name>sgdma_tx.csr_irq</name>
+ <interruptNumber>5</interruptNumber>
+ </interrupt>
</interface>
<interface
name="tightly_coupled_data_master_0"
@@ -8583,6 +8657,5659 @@ parameters are a RESULT of the module parameters. -->
</port>
</interface>
</module>
+ <module
+ name="triple_speed_ethernet_0"
+ kind="triple_speed_ethernet"
+ version="9.1">
+ <!-- Describes a single module. Module parameters are
+the requested settings for a module instance. -->
+ <assignment>
+ <name>embeddedsw.CMacro.TRANSMIT</name>
+ <value>"sgdma_tx"</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.RECEIVE</name>
+ <value>"sgdma_rx"</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.TRANSMIT_FIFO_DEPTH</name>
+ <value>1024</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.RECEIVE_FIFO_DEPTH</name>
+ <value>1024</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.FIFO_WIDTH</name>
+ <value>32</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.ENABLE_MACLITE</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.MACLITE_GIGE</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.USE_MDIO</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.NUMBER_OF_CHANNEL</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.NUMBER_OF_MAC_MDIO_SHARED</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.IS_MULTICHANNEL_MAC</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.MDIO_SHARED</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.REGISTER_SHARED</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.PCS</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.PCS_SGMII</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.PCS_ID</name>
+ <value>0u</value>
+ </assignment>
+ <parameter name="atlanticSinkClockRate">
+ <type>long</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="atlanticSinkClockSource">
+ <type>java.lang.String</type>
+ <value>unassigned</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="atlanticSourceClockRate">
+ <type>long</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="atlanticSourceClockSource">
+ <type>java.lang.String</type>
+ <value>unassigned</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="avalonSlaveClockRate">
+ <type>long</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="avalonSlaveClockSource">
+ <type>java.lang.String</type>
+ <value>unassigned</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="avalonStNeighbours">
+ <type>java.lang.String</type>
+ <value>{TRANSMIT=sgdma_tx, RECEIVE=sgdma_rx}</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="channel_count">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="core_variation">
+ <type>com.altera.megacore.tse.model.IEthernetCoreParameter$eCoreVariation</type>
+ <value>MAC_ONLY</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="core_version">
+ <type>long</type>
+ <value>2305</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="crc32check16bit">
+ <type>byte</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="crc32dwidth">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="crc32gendelay">
+ <type>int</type>
+ <value>6</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="crc32s1l2_extern">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="cust_version">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="dataBitsPerSymbol">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="dev_version">
+ <type>long</type>
+ <value>2305</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>com.altera.entityinterfaces.moduleext.IDeviceFamily$EDeviceFamily</type>
+ <value>CYCLONEIII</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="eg_addr">
+ <type>int</type>
+ <value>10</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="eg_fifo">
+ <type>int</type>
+ <value>1024</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="ena_hash">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="enable_alt_reconfig">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="enable_clk_sharing">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="enable_ena">
+ <type>int</type>
+ <value>32</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="enable_fifoless">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="enable_gmii_loopback">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="enable_hd_logic">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="enable_mac_flow_ctrl">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="enable_mac_txaddr_set">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="enable_mac_vlan">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="enable_maclite">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="enable_magic_detect">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="enable_multi_channel">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="enable_pkt_class">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="enable_pma">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="enable_reg_sharing">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="enable_sgmii">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="enable_shift16">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="enable_sup_addr">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="enable_use_internal_fifo">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="export_calblkclk">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="export_pwrdn">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="ext_stat_cnt_ena">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="gigeAdvanceMode">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="ifGMII">
+ <type>com.altera.megacore.tse.model.IEthernetCoreParameter$MIIInterface</type>
+ <value>MII_GMII</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="ifPCSuseEmbeddedSerdes">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="ing_addr">
+ <type>int</type>
+ <value>10</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="ing_fifo">
+ <type>int</type>
+ <value>1024</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="insert_ta">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maclite_gige">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="max_channels">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="mdio_clk_div">
+ <type>int</type>
+ <value>20</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="phy_identifier">
+ <type>long</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="ramType">
+ <type>com.altera.megacore.tse.model.IEthernetCoreParameter$eRamType</type>
+ <value>AUTO</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="reset_level">
+ <type>byte</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="sopcSystemTopLevelName">
+ <type>java.lang.String</type>
+ <value>dionysos_nios2mmu</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="stat_cnt_ena">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timingAdapterName">
+ <type>java.lang.String</type>
+ <value>timingAdapter</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="toolContext">
+ <type>com.altera.entityinterfaces.moduleext.megawizard.IMegaWizard$EToolContext</type>
+ <value>SOPC_BUILDER</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="transceiver_type">
+ <type>com.altera.megacore.tse.model.IEthernetCoreParameter$TransceiverType</type>
+ <value>GXB</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="uiEgFIFOSize">
+ <type>java.lang.String</type>
+ <value>1024 x 32 Bits</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="uiHostClockFrequency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="uiIngFIFOSize">
+ <type>java.lang.String</type>
+ <value>1024 x 32 Bits</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="uiMACFIFO">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="uiMACOptions">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="uiMDIOFreq">
+ <type>java.lang.String</type>
+ <value>0.0 MHz</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="uiMIIInterfaceOptions">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="uiPCSInterface">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="uiPCSInterfaceOptions">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="useLvds">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="useMAC">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="useMDIO">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="usePCS">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="use_sync_reset">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <interface name="transmit" kind="avalon_streaming_sink" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="associatedClock">
+ <type>java.lang.String</type>
+ <value>receive_clock_connection</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="dataBitsPerSymbol">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="errorDescriptor">
+ <type>[Ljava.lang.String;</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maxChannel">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="packetDescription">
+ <type>java.lang.String</type>
+ <value>Prefix,(d4,b3,c2,a1)3,Postfix</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readyLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="symbolsPerBeat">
+ <type>int</type>
+ <value>4</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>avalon_streaming</type>
+ <isStart>false</isStart>
+ <port>
+ <name>ff_tx_data</name>
+ <direction>Input</direction>
+ <width>32</width>
+ <role>data</role>
+ </port>
+ <port>
+ <name>ff_tx_eop</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>endofpacket</role>
+ </port>
+ <port>
+ <name>ff_tx_err</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>error</role>
+ </port>
+ <port>
+ <name>ff_tx_mod</name>
+ <direction>Input</direction>
+ <width>2</width>
+ <role>empty</role>
+ </port>
+ <port>
+ <name>ff_tx_rdy</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>ready</role>
+ </port>
+ <port>
+ <name>ff_tx_sop</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>startofpacket</role>
+ </port>
+ <port>
+ <name>ff_tx_wren</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>valid</role>
+ </port>
+ </interface>
+ <interface name="receive_clock_connection" kind="clock_sink" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="clockRate">
+ <type>long</type>
+ <value>0</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockRateKnown">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="externallyDriven">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="ptfSchematicName">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>clock</type>
+ <isStart>false</isStart>
+ <port>
+ <name>ff_tx_clk</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>clk</role>
+ </port>
+ </interface>
+ <interface name="receive" kind="avalon_streaming_source" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="associatedClock">
+ <type>java.lang.String</type>
+ <value>transmit_clock_connection</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="dataBitsPerSymbol">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="errorDescriptor">
+ <type>[Ljava.lang.String;</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maxChannel">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="packetDescription">
+ <type>java.lang.String</type>
+ <value>Prefix,(d4,b3,c2,a1)3,Postfix</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readyLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="symbolsPerBeat">
+ <type>int</type>
+ <value>4</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>avalon_streaming</type>
+ <isStart>true</isStart>
+ <port>
+ <name>ff_rx_data</name>
+ <direction>Output</direction>
+ <width>32</width>
+ <role>data</role>
+ </port>
+ <port>
+ <name>ff_rx_dval</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>valid</role>
+ </port>
+ <port>
+ <name>ff_rx_eop</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>endofpacket</role>
+ </port>
+ <port>
+ <name>ff_rx_mod</name>
+ <direction>Output</direction>
+ <width>2</width>
+ <role>empty</role>
+ </port>
+ <port>
+ <name>ff_rx_rdy</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>ready</role>
+ </port>
+ <port>
+ <name>ff_rx_sop</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>startofpacket</role>
+ </port>
+ <port>
+ <name>rx_err</name>
+ <direction>Output</direction>
+ <width>6</width>
+ <role>error</role>
+ </port>
+ </interface>
+ <interface name="transmit_clock_connection" kind="clock_sink" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="clockRate">
+ <type>long</type>
+ <value>0</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockRateKnown">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="externallyDriven">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="ptfSchematicName">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>clock</type>
+ <isStart>false</isStart>
+ <port>
+ <name>ff_rx_clk</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>clk</role>
+ </port>
+ </interface>
+ <interface name="control_port" kind="avalon_slave" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <assignment>
+ <name>embeddedsw.configuration.isEthernetMacDevice</name>
+ <value>1</value>
+ </assignment>
+ <parameter name="addressAlignment">
+ <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
+ <value>DYNAMIC</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressGroup">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressSpan">
+ <type>long</type>
+ <value>1024</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="alwaysBurstMaxBurst">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedClock">
+ <type>java.lang.String</type>
+ <value>control_port_clock_connection</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bitsPerSymbol">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bridgesToMaster">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstOnBurstBoundariesOnly">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstcountUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="constantBurstBehavior">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="explicitAddressSpan">
+ <type>long</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="holdTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="interleaveBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isFlash">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isMemoryDevice">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isNonVolatileStorage">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="linewrapBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maximumPendingReadTransactions">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="minimumUninterruptedRunLength">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="printableDevice">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitStates">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitTime">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerIncomingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerOutgoingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setupTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timingUnits">
+ <type>com.altera.sopcmodel.avalon.TimingUnits</type>
+ <value>Cycles</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="transparentBridge">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="wellBehavedWaitrequest">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitStates">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitTime">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>avalon</type>
+ <isStart>false</isStart>
+ <port>
+ <name>address</name>
+ <direction>Input</direction>
+ <width>8</width>
+ <role>address</role>
+ </port>
+ <port>
+ <name>readdata</name>
+ <direction>Output</direction>
+ <width>32</width>
+ <role>readdata</role>
+ </port>
+ <port>
+ <name>read</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>read</role>
+ </port>
+ <port>
+ <name>writedata</name>
+ <direction>Input</direction>
+ <width>32</width>
+ <role>writedata</role>
+ </port>
+ <port>
+ <name>write</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>write</role>
+ </port>
+ <port>
+ <name>waitrequest</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>waitrequest</role>
+ </port>
+ </interface>
+ <interface name="control_port_clock_connection" kind="clock_sink" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="clockRate">
+ <type>long</type>
+ <value>0</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockRateKnown">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="externallyDriven">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="ptfSchematicName">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>clock</type>
+ <isStart>false</isStart>
+ <port>
+ <name>clk</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>clk</role>
+ </port>
+ <port>
+ <name>reset</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>reset</role>
+ </port>
+ </interface>
+ <interface name="exported_connection" kind="conduit" version="7.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>conduit</type>
+ <isStart>false</isStart>
+ <port>
+ <name>gm_rx_d</name>
+ <direction>Input</direction>
+ <width>8</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>gm_rx_dv</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>gm_rx_err</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>gm_tx_d</name>
+ <direction>Output</direction>
+ <width>8</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>gm_tx_en</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>gm_tx_err</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>m_rx_d</name>
+ <direction>Input</direction>
+ <width>4</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>m_rx_en</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>m_rx_err</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>m_tx_d</name>
+ <direction>Output</direction>
+ <width>4</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>m_tx_en</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>m_tx_err</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>m_rx_col</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>m_rx_crs</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>tx_clk</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>rx_clk</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>set_10</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>set_1000</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>ena_10</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>eth_mode</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>mdio_out</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>mdio_oen</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>mdio_in</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>mdc</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ </interface>
+ </module>
+ <module name="sgdma_rx" kind="altera_avalon_sgdma" version="9.1">
+ <!-- Describes a single module. Module parameters are
+the requested settings for a module instance. -->
+ <assignment>
+ <name>embeddedsw.CMacro.READ_BLOCK_DATA_WIDTH</name>
+ <value>32</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.WRITE_BLOCK_DATA_WIDTH</name>
+ <value>32</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.STREAM_DATA_WIDTH</name>
+ <value>32</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.ADDRESS_WIDTH</name>
+ <value>32</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.HAS_READ_BLOCK</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.HAS_WRITE_BLOCK</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.READ_BURSTCOUNT_WIDTH</name>
+ <value>4</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.WRITE_BURSTCOUNT_WIDTH</name>
+ <value>4</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.BURST_TRANSFER</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.ALWAYS_DO_MAX_BURST</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.DESCRIPTOR_READ_BURST</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.UNALIGNED_TRANSFER</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.CONTROL_SLAVE_DATA_WIDTH</name>
+ <value>32</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.CONTROL_SLAVE_ADDRESS_WIDTH</name>
+ <value>4</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.DESC_DATA_WIDTH</name>
+ <value>32</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.CHAIN_WRITEBACK_DATA_WIDTH</name>
+ <value>32</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.STATUS_TOKEN_DATA_WIDTH</name>
+ <value>24</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.BYTES_TO_TRANSFER_DATA_WIDTH</name>
+ <value>16</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.BURST_DATA_WIDTH</name>
+ <value>8</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.CONTROL_DATA_WIDTH</name>
+ <value>8</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.ATLANTIC_CHANNEL_DATA_WIDTH</name>
+ <value>4</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.COMMAND_FIFO_DATA_WIDTH</name>
+ <value>104</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.SYMBOLS_PER_BEAT</name>
+ <value>4</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.IN_ERROR_WIDTH</name>
+ <value>6</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.OUT_ERROR_WIDTH</name>
+ <value>0</value>
+ </assignment>
+ <parameter name="actualDataTransferFIFODepth">
+ <type>int</type>
+ <value>64</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressWidth">
+ <type>int</type>
+ <value>32</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="alwaysDoMaxBurst">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>false</valid>
+ </parameter>
+ <parameter name="dataTransferFIFODepth">
+ <type>int</type>
+ <value>2</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="enableBurstTransfers">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="enableDescriptorReadMasterBurst">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="enableUnalignedTransfers">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="internalFIFODepth">
+ <type>int</type>
+ <value>2</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readBlockDataWidth">
+ <type>int</type>
+ <value>32</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readBurstcountWidth">
+ <type>int</type>
+ <value>4</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="sinkErrorWidth">
+ <type>int</type>
+ <value>6</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="sourceErrorWidth">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="transferMode">
+ <type>com.altera.sopcmodel.components.avalon.sgdma.ETransferMode</type>
+ <value>STREAM_TO_MEMORY</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeBurstcountWidth">
+ <type>int</type>
+ <value>4</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <interface name="clk" kind="clock_sink" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="clockRate">
+ <type>long</type>
+ <value>0</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockRateKnown">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="externallyDriven">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="ptfSchematicName">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>clock</type>
+ <isStart>false</isStart>
+ <port>
+ <name>clk</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>clk</role>
+ </port>
+ <port>
+ <name>reset_n</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>reset_n</role>
+ </port>
+ </interface>
+ <interface name="csr" kind="avalon_slave" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <assignment>
+ <name>embeddedsw.configuration.affectsTransactionsOnMasters</name>
+ <value>m_write</value>
+ </assignment>
+ <parameter name="addressAlignment">
+ <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
+ <value>DYNAMIC</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressGroup">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressSpan">
+ <type>long</type>
+ <value>64</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="alwaysBurstMaxBurst">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedClock">
+ <type>java.lang.String</type>
+ <value>clk</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bitsPerSymbol">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bridgesToMaster">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstOnBurstBoundariesOnly">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstcountUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="constantBurstBehavior">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="explicitAddressSpan">
+ <type>long</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="holdTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="interleaveBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isFlash">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isMemoryDevice">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isNonVolatileStorage">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="linewrapBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maximumPendingReadTransactions">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="minimumUninterruptedRunLength">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="printableDevice">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitStates">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitTime">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerIncomingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerOutgoingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setupTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timingUnits">
+ <type>com.altera.sopcmodel.avalon.TimingUnits</type>
+ <value>Cycles</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="transparentBridge">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="wellBehavedWaitrequest">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitStates">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>avalon</type>
+ <isStart>false</isStart>
+ <port>
+ <name>csr_chipselect</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>chipselect</role>
+ </port>
+ <port>
+ <name>csr_address</name>
+ <direction>Input</direction>
+ <width>4</width>
+ <role>address</role>
+ </port>
+ <port>
+ <name>csr_read</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>read</role>
+ </port>
+ <port>
+ <name>csr_write</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>write</role>
+ </port>
+ <port>
+ <name>csr_writedata</name>
+ <direction>Input</direction>
+ <width>32</width>
+ <role>writedata</role>
+ </port>
+ <port>
+ <name>csr_readdata</name>
+ <direction>Output</direction>
+ <width>32</width>
+ <role>readdata</role>
+ </port>
+ </interface>
+ <interface name="descriptor_read" kind="avalon_master" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="adaptsTo">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressGroup">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>SYMBOLS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="alwaysBurstMaxBurst">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedClock">
+ <type>java.lang.String</type>
+ <value>clk</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bitsPerSymbol">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstOnBurstBoundariesOnly">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstcountUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="constantBurstBehavior">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="dBSBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="doStreamReads">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="doStreamWrites">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="holdTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="interleaveBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isAsynchronous">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isReadable">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isWriteable">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="linewrapBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maxAddressWidth">
+ <type>int</type>
+ <value>32</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maximumPendingReadTransactions">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitTime">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerIncomingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerOutgoingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setupTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timingUnits">
+ <type>com.altera.sopcmodel.avalon.TimingUnits</type>
+ <value>Cycles</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>avalon</type>
+ <isStart>true</isStart>
+ <port>
+ <name>descriptor_read_readdata</name>
+ <direction>Input</direction>
+ <width>32</width>
+ <role>readdata</role>
+ </port>
+ <port>
+ <name>descriptor_read_readdatavalid</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>readdatavalid</role>
+ </port>
+ <port>
+ <name>descriptor_read_waitrequest</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>waitrequest</role>
+ </port>
+ <port>
+ <name>descriptor_read_address</name>
+ <direction>Output</direction>
+ <width>32</width>
+ <role>address</role>
+ </port>
+ <port>
+ <name>descriptor_read_read</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>read</role>
+ </port>
+ <memoryBlock>
+ <isBridge>false</isBridge>
+ <moduleName>descriptor_memory</moduleName>
+ <slaveName>s1</slaveName>
+ <name>descriptor_memory.s1</name>
+ <baseAddress>8192</baseAddress>
+ <span>8192</span>
+ </memoryBlock>
+ </interface>
+ <interface name="descriptor_write" kind="avalon_master" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="adaptsTo">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressGroup">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>SYMBOLS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="alwaysBurstMaxBurst">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedClock">
+ <type>java.lang.String</type>
+ <value>clk</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bitsPerSymbol">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstOnBurstBoundariesOnly">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstcountUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="constantBurstBehavior">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="dBSBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="doStreamReads">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="doStreamWrites">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="holdTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="interleaveBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isAsynchronous">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isReadable">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isWriteable">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="linewrapBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maxAddressWidth">
+ <type>int</type>
+ <value>32</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maximumPendingReadTransactions">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitTime">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerIncomingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerOutgoingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setupTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timingUnits">
+ <type>com.altera.sopcmodel.avalon.TimingUnits</type>
+ <value>Cycles</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>avalon</type>
+ <isStart>true</isStart>
+ <port>
+ <name>descriptor_write_waitrequest</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>waitrequest</role>
+ </port>
+ <port>
+ <name>descriptor_write_address</name>
+ <direction>Output</direction>
+ <width>32</width>
+ <role>address</role>
+ </port>
+ <port>
+ <name>descriptor_write_write</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>write</role>
+ </port>
+ <port>
+ <name>descriptor_write_writedata</name>
+ <direction>Output</direction>
+ <width>32</width>
+ <role>writedata</role>
+ </port>
+ <memoryBlock>
+ <isBridge>false</isBridge>
+ <moduleName>descriptor_memory</moduleName>
+ <slaveName>s1</slaveName>
+ <name>descriptor_memory.s1</name>
+ <baseAddress>8192</baseAddress>
+ <span>8192</span>
+ </memoryBlock>
+ </interface>
+ <interface name="csr_irq" kind="interrupt_sender" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="associatedAddressablePoint">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value>sgdma_rx.csr</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="irqScheme">
+ <type>com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme</type>
+ <value>NONE</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>interrupt</type>
+ <isStart>false</isStart>
+ <port>
+ <name>csr_irq</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>irq</role>
+ </port>
+ </interface>
+ <interface name="m_write" kind="avalon_master" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="adaptsTo">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressGroup">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>SYMBOLS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="alwaysBurstMaxBurst">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedClock">
+ <type>java.lang.String</type>
+ <value>clk</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bitsPerSymbol">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstOnBurstBoundariesOnly">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstcountUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="constantBurstBehavior">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="dBSBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="doStreamReads">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="doStreamWrites">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="holdTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="interleaveBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isAsynchronous">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isReadable">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isWriteable">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="linewrapBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maxAddressWidth">
+ <type>int</type>
+ <value>32</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maximumPendingReadTransactions">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitTime">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerIncomingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerOutgoingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setupTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timingUnits">
+ <type>com.altera.sopcmodel.avalon.TimingUnits</type>
+ <value>Cycles</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>avalon</type>
+ <isStart>true</isStart>
+ <port>
+ <name>m_write_waitrequest</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>waitrequest</role>
+ </port>
+ <port>
+ <name>m_write_address</name>
+ <direction>Output</direction>
+ <width>32</width>
+ <role>address</role>
+ </port>
+ <port>
+ <name>m_write_write</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>write</role>
+ </port>
+ <port>
+ <name>m_write_writedata</name>
+ <direction>Output</direction>
+ <width>32</width>
+ <role>writedata</role>
+ </port>
+ <memoryBlock>
+ <isBridge>false</isBridge>
+ <moduleName>sdram_0</moduleName>
+ <slaveName>s1</slaveName>
+ <name>sdram_0.s1</name>
+ <baseAddress>16777216</baseAddress>
+ <span>16777216</span>
+ </memoryBlock>
+ </interface>
+ <interface name="in" kind="avalon_streaming_sink" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="associatedClock">
+ <type>java.lang.String</type>
+ <value>clk</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="dataBitsPerSymbol">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="errorDescriptor">
+ <type>[Ljava.lang.String;</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maxChannel">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="packetDescription">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readyLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="symbolsPerBeat">
+ <type>int</type>
+ <value>4</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>avalon_streaming</type>
+ <isStart>false</isStart>
+ <port>
+ <name>in_sop</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>startofpacket</role>
+ </port>
+ <port>
+ <name>in_eop</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>endofpacket</role>
+ </port>
+ <port>
+ <name>in_empty</name>
+ <direction>Input</direction>
+ <width>2</width>
+ <role>empty</role>
+ </port>
+ <port>
+ <name>in_data</name>
+ <direction>Input</direction>
+ <width>32</width>
+ <role>data</role>
+ </port>
+ <port>
+ <name>in_valid</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>valid</role>
+ </port>
+ <port>
+ <name>in_ready</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>ready</role>
+ </port>
+ <port>
+ <name>in_error</name>
+ <direction>Input</direction>
+ <width>6</width>
+ <role>error</role>
+ </port>
+ </interface>
+ </module>
+ <module
+ name="descriptor_memory"
+ kind="altera_avalon_onchip_memory2"
+ version="9.1">
+ <!-- Describes a single module. Module parameters are
+the requested settings for a module instance. -->
+ <assignment>
+ <name>embeddedsw.CMacro.ALLOW_MRAM_SIM_CONTENTS_ONLY_FILE</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.INIT_CONTENTS_FILE</name>
+ <value>"descriptor_memory"</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.NON_DEFAULT_INIT_FILE_ENABLED</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.GUI_RAM_BLOCK_TYPE</name>
+ <value>"Automatic"</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.WRITABLE</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.DUAL_PORT</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.SIZE_VALUE</name>
+ <value>8192u</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.SIZE_MULTIPLE</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.CONTENTS_INFO</name>
+ <value>""</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.RAM_BLOCK_TYPE</name>
+ <value>"Auto"</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.INIT_MEM_CONTENT</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.ALLOW_IN_SYSTEM_MEMORY_CONTENT_EDITOR</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.INSTANCE_ID</name>
+ <value>"NONE"</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.READ_DURING_WRITE_MODE</name>
+ <value>"DONT_CARE"</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH</name>
+ <value>32</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.memoryInfo.HAS_BYTE_LANE</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.memoryInfo.GENERATE_HEX</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.memoryInfo.HEX_INSTALL_DIR</name>
+ <value>QPF_DIR</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.memoryInfo.GENERATE_DAT_SYM</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.memoryInfo.DAT_SYM_INSTALL_DIR</name>
+ <value>SIM_DIR</value>
+ </assignment>
+ <parameter name="allowInSystemMemoryContentEditor">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="blockType">
+ <type>com.altera.sopcmodel.components.avalon.AlteraAvalonOnchipMemory.AlteraAvalonOnchipMemory$BlockType</type>
+ <value>AUTO</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="dataWidth">
+ <type>int</type>
+ <value>32</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>Cyclone III</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="dualPort">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="initMemContent">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="initializationFileName">
+ <type>java.lang.String</type>
+ <value>descriptor_memory</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="instanceID">
+ <type>java.lang.String</type>
+ <value>NONE</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="memorySize">
+ <type>long</type>
+ <value>8192</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readDuringWriteMode">
+ <type>com.altera.sopcmodel.components.avalon.AlteraAvalonOnchipMemory.AlteraAvalonOnchipMemory$ReadDuringWriteMode</type>
+ <value>DONT_CARE</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="simAllowMRAMContentsFile">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="slave1Latency">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="slave2Latency">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="useNonDefaultInitFile">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="useShallowMemBlocks">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writable">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <interface name="clk1" kind="clock_sink" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="clockRate">
+ <type>long</type>
+ <value>0</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockRateKnown">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="externallyDriven">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="ptfSchematicName">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>clock</type>
+ <isStart>false</isStart>
+ <port>
+ <name>clk</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>clk</role>
+ </port>
+ </interface>
+ <interface name="s1" kind="avalon_slave" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <assignment>
+ <name>embeddedsw.configuration.isMemoryDevice</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.isNonVolatileStorage</name>
+ <value>0</value>
+ </assignment>
+ <parameter name="addressAlignment">
+ <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
+ <value>DYNAMIC</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressGroup">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressSpan">
+ <type>long</type>
+ <value>8192</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="alwaysBurstMaxBurst">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedClock">
+ <type>java.lang.String</type>
+ <value>clk1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bitsPerSymbol">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bridgesToMaster">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstOnBurstBoundariesOnly">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstcountUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="constantBurstBehavior">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="explicitAddressSpan">
+ <type>long</type>
+ <value>8192</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="holdTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="interleaveBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isFlash">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isMemoryDevice">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isNonVolatileStorage">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="linewrapBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maximumPendingReadTransactions">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="minimumUninterruptedRunLength">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="printableDevice">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readLatency">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitStates">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerIncomingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerOutgoingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setupTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timingUnits">
+ <type>com.altera.sopcmodel.avalon.TimingUnits</type>
+ <value>Cycles</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="transparentBridge">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="wellBehavedWaitrequest">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitStates">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>avalon</type>
+ <isStart>false</isStart>
+ <port>
+ <name>address</name>
+ <direction>Input</direction>
+ <width>11</width>
+ <role>address</role>
+ </port>
+ <port>
+ <name>chipselect</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>chipselect</role>
+ </port>
+ <port>
+ <name>clken</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>clken</role>
+ </port>
+ <port>
+ <name>readdata</name>
+ <direction>Output</direction>
+ <width>32</width>
+ <role>readdata</role>
+ </port>
+ <port>
+ <name>write</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>write</role>
+ </port>
+ <port>
+ <name>writedata</name>
+ <direction>Input</direction>
+ <width>32</width>
+ <role>writedata</role>
+ </port>
+ <port>
+ <name>byteenable</name>
+ <direction>Input</direction>
+ <width>4</width>
+ <role>byteenable</role>
+ </port>
+ </interface>
+ </module>
+ <module name="sgdma_tx" kind="altera_avalon_sgdma" version="9.1">
+ <!-- Describes a single module. Module parameters are
+the requested settings for a module instance. -->
+ <assignment>
+ <name>embeddedsw.CMacro.READ_BLOCK_DATA_WIDTH</name>
+ <value>32</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.WRITE_BLOCK_DATA_WIDTH</name>
+ <value>32</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.STREAM_DATA_WIDTH</name>
+ <value>32</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.ADDRESS_WIDTH</name>
+ <value>32</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.HAS_READ_BLOCK</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.HAS_WRITE_BLOCK</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.READ_BURSTCOUNT_WIDTH</name>
+ <value>4</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.WRITE_BURSTCOUNT_WIDTH</name>
+ <value>4</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.BURST_TRANSFER</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.ALWAYS_DO_MAX_BURST</name>
+ <value>1</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.DESCRIPTOR_READ_BURST</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.UNALIGNED_TRANSFER</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.CONTROL_SLAVE_DATA_WIDTH</name>
+ <value>32</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.CONTROL_SLAVE_ADDRESS_WIDTH</name>
+ <value>4</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.DESC_DATA_WIDTH</name>
+ <value>32</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.CHAIN_WRITEBACK_DATA_WIDTH</name>
+ <value>32</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.STATUS_TOKEN_DATA_WIDTH</name>
+ <value>24</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.BYTES_TO_TRANSFER_DATA_WIDTH</name>
+ <value>16</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.BURST_DATA_WIDTH</name>
+ <value>8</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.CONTROL_DATA_WIDTH</name>
+ <value>8</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.ATLANTIC_CHANNEL_DATA_WIDTH</name>
+ <value>4</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.COMMAND_FIFO_DATA_WIDTH</name>
+ <value>104</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.SYMBOLS_PER_BEAT</name>
+ <value>4</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.IN_ERROR_WIDTH</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.CMacro.OUT_ERROR_WIDTH</name>
+ <value>1</value>
+ </assignment>
+ <parameter name="actualDataTransferFIFODepth">
+ <type>int</type>
+ <value>64</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressWidth">
+ <type>int</type>
+ <value>32</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="alwaysDoMaxBurst">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>false</valid>
+ </parameter>
+ <parameter name="dataTransferFIFODepth">
+ <type>int</type>
+ <value>2</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="enableBurstTransfers">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="enableDescriptorReadMasterBurst">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="enableUnalignedTransfers">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="internalFIFODepth">
+ <type>int</type>
+ <value>2</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readBlockDataWidth">
+ <type>int</type>
+ <value>32</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readBurstcountWidth">
+ <type>int</type>
+ <value>4</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="sinkErrorWidth">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="sourceErrorWidth">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="transferMode">
+ <type>com.altera.sopcmodel.components.avalon.sgdma.ETransferMode</type>
+ <value>MEMORY_TO_STREAM</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeBurstcountWidth">
+ <type>int</type>
+ <value>4</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <interface name="clk" kind="clock_sink" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="clockRate">
+ <type>long</type>
+ <value>0</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockRateKnown">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="externallyDriven">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="ptfSchematicName">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>clock</type>
+ <isStart>false</isStart>
+ <port>
+ <name>clk</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>clk</role>
+ </port>
+ <port>
+ <name>reset_n</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>reset_n</role>
+ </port>
+ </interface>
+ <interface name="csr" kind="avalon_slave" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <assignment>
+ <name>embeddedsw.configuration.affectsTransactionsOnMasters</name>
+ <value>m_read</value>
+ </assignment>
+ <parameter name="addressAlignment">
+ <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
+ <value>DYNAMIC</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressGroup">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressSpan">
+ <type>long</type>
+ <value>64</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="alwaysBurstMaxBurst">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedClock">
+ <type>java.lang.String</type>
+ <value>clk</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bitsPerSymbol">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bridgesToMaster">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstOnBurstBoundariesOnly">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstcountUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="constantBurstBehavior">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="explicitAddressSpan">
+ <type>long</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="holdTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="interleaveBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isFlash">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isMemoryDevice">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isNonVolatileStorage">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="linewrapBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maximumPendingReadTransactions">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="minimumUninterruptedRunLength">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="printableDevice">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitStates">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitTime">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerIncomingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerOutgoingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setupTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timingUnits">
+ <type>com.altera.sopcmodel.avalon.TimingUnits</type>
+ <value>Cycles</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="transparentBridge">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="wellBehavedWaitrequest">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitStates">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>avalon</type>
+ <isStart>false</isStart>
+ <port>
+ <name>csr_chipselect</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>chipselect</role>
+ </port>
+ <port>
+ <name>csr_address</name>
+ <direction>Input</direction>
+ <width>4</width>
+ <role>address</role>
+ </port>
+ <port>
+ <name>csr_read</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>read</role>
+ </port>
+ <port>
+ <name>csr_write</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>write</role>
+ </port>
+ <port>
+ <name>csr_writedata</name>
+ <direction>Input</direction>
+ <width>32</width>
+ <role>writedata</role>
+ </port>
+ <port>
+ <name>csr_readdata</name>
+ <direction>Output</direction>
+ <width>32</width>
+ <role>readdata</role>
+ </port>
+ </interface>
+ <interface name="descriptor_read" kind="avalon_master" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="adaptsTo">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressGroup">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>SYMBOLS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="alwaysBurstMaxBurst">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedClock">
+ <type>java.lang.String</type>
+ <value>clk</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bitsPerSymbol">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstOnBurstBoundariesOnly">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstcountUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="constantBurstBehavior">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="dBSBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="doStreamReads">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="doStreamWrites">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="holdTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="interleaveBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isAsynchronous">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isReadable">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isWriteable">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="linewrapBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maxAddressWidth">
+ <type>int</type>
+ <value>32</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maximumPendingReadTransactions">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitTime">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerIncomingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerOutgoingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setupTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timingUnits">
+ <type>com.altera.sopcmodel.avalon.TimingUnits</type>
+ <value>Cycles</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>avalon</type>
+ <isStart>true</isStart>
+ <port>
+ <name>descriptor_read_readdata</name>
+ <direction>Input</direction>
+ <width>32</width>
+ <role>readdata</role>
+ </port>
+ <port>
+ <name>descriptor_read_readdatavalid</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>readdatavalid</role>
+ </port>
+ <port>
+ <name>descriptor_read_waitrequest</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>waitrequest</role>
+ </port>
+ <port>
+ <name>descriptor_read_address</name>
+ <direction>Output</direction>
+ <width>32</width>
+ <role>address</role>
+ </port>
+ <port>
+ <name>descriptor_read_read</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>read</role>
+ </port>
+ <memoryBlock>
+ <isBridge>false</isBridge>
+ <moduleName>descriptor_memory</moduleName>
+ <slaveName>s1</slaveName>
+ <name>descriptor_memory.s1</name>
+ <baseAddress>8192</baseAddress>
+ <span>8192</span>
+ </memoryBlock>
+ </interface>
+ <interface name="descriptor_write" kind="avalon_master" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="adaptsTo">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressGroup">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>SYMBOLS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="alwaysBurstMaxBurst">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedClock">
+ <type>java.lang.String</type>
+ <value>clk</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bitsPerSymbol">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstOnBurstBoundariesOnly">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstcountUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="constantBurstBehavior">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="dBSBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="doStreamReads">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="doStreamWrites">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="holdTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="interleaveBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isAsynchronous">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isReadable">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isWriteable">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="linewrapBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maxAddressWidth">
+ <type>int</type>
+ <value>32</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maximumPendingReadTransactions">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitTime">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerIncomingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerOutgoingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setupTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timingUnits">
+ <type>com.altera.sopcmodel.avalon.TimingUnits</type>
+ <value>Cycles</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>avalon</type>
+ <isStart>true</isStart>
+ <port>
+ <name>descriptor_write_waitrequest</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>waitrequest</role>
+ </port>
+ <port>
+ <name>descriptor_write_address</name>
+ <direction>Output</direction>
+ <width>32</width>
+ <role>address</role>
+ </port>
+ <port>
+ <name>descriptor_write_write</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>write</role>
+ </port>
+ <port>
+ <name>descriptor_write_writedata</name>
+ <direction>Output</direction>
+ <width>32</width>
+ <role>writedata</role>
+ </port>
+ <memoryBlock>
+ <isBridge>false</isBridge>
+ <moduleName>descriptor_memory</moduleName>
+ <slaveName>s1</slaveName>
+ <name>descriptor_memory.s1</name>
+ <baseAddress>8192</baseAddress>
+ <span>8192</span>
+ </memoryBlock>
+ </interface>
+ <interface name="csr_irq" kind="interrupt_sender" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="associatedAddressablePoint">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value>sgdma_tx.csr</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="irqScheme">
+ <type>com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme</type>
+ <value>NONE</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>interrupt</type>
+ <isStart>false</isStart>
+ <port>
+ <name>csr_irq</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>irq</role>
+ </port>
+ </interface>
+ <interface name="m_read" kind="avalon_master" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="adaptsTo">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressGroup">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>SYMBOLS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="alwaysBurstMaxBurst">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedClock">
+ <type>java.lang.String</type>
+ <value>clk</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bitsPerSymbol">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstOnBurstBoundariesOnly">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstcountUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="constantBurstBehavior">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="dBSBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="doStreamReads">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="doStreamWrites">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="holdTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="interleaveBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isAsynchronous">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isReadable">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isWriteable">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="linewrapBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maxAddressWidth">
+ <type>int</type>
+ <value>32</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maximumPendingReadTransactions">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitTime">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerIncomingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerOutgoingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setupTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timingUnits">
+ <type>com.altera.sopcmodel.avalon.TimingUnits</type>
+ <value>Cycles</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitTime">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>avalon</type>
+ <isStart>true</isStart>
+ <port>
+ <name>m_read_readdata</name>
+ <direction>Input</direction>
+ <width>32</width>
+ <role>readdata</role>
+ </port>
+ <port>
+ <name>m_read_readdatavalid</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>readdatavalid</role>
+ </port>
+ <port>
+ <name>m_read_waitrequest</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>waitrequest</role>
+ </port>
+ <port>
+ <name>m_read_address</name>
+ <direction>Output</direction>
+ <width>32</width>
+ <role>address</role>
+ </port>
+ <port>
+ <name>m_read_read</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>read</role>
+ </port>
+ <memoryBlock>
+ <isBridge>false</isBridge>
+ <moduleName>sdram_0</moduleName>
+ <slaveName>s1</slaveName>
+ <name>sdram_0.s1</name>
+ <baseAddress>16777216</baseAddress>
+ <span>16777216</span>
+ </memoryBlock>
+ </interface>
+ <interface name="out" kind="avalon_streaming_source" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="associatedClock">
+ <type>java.lang.String</type>
+ <value>clk</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="dataBitsPerSymbol">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="errorDescriptor">
+ <type>[Ljava.lang.String;</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maxChannel">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="packetDescription">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readyLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="symbolsPerBeat">
+ <type>int</type>
+ <value>4</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>avalon_streaming</type>
+ <isStart>true</isStart>
+ <port>
+ <name>out_data</name>
+ <direction>Output</direction>
+ <width>32</width>
+ <role>data</role>
+ </port>
+ <port>
+ <name>out_valid</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>valid</role>
+ </port>
+ <port>
+ <name>out_ready</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>ready</role>
+ </port>
+ <port>
+ <name>out_eop</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>endofpacket</role>
+ </port>
+ <port>
+ <name>out_sop</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>startofpacket</role>
+ </port>
+ <port>
+ <name>out_empty</name>
+ <direction>Output</direction>
+ <width>2</width>
+ <role>empty</role>
+ </port>
+ <port>
+ <name>out_error</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>error</role>
+ </port>
+ </interface>
+ </module>
<connection
name="clk_0.clk/cpu_0.clk"
kind="clock"
@@ -9595,28 +15322,725 @@ parameters are a RESULT of the module parameters. -->
<endModule>onchip_memory2_0</endModule>
<endConnectionPoint>s2</endConnectionPoint>
</connection>
+ <connection
+ name="clk_0.clk/triple_speed_ethernet_0.receive_clock_connection"
+ kind="clock"
+ version="9.1"
+ start="clk_0.clk"
+ end="triple_speed_ethernet_0.receive_clock_connection">
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>clk_0</startModule>
+ <startConnectionPoint>clk</startConnectionPoint>
+ <endModule>triple_speed_ethernet_0</endModule>
+ <endConnectionPoint>receive_clock_connection</endConnectionPoint>
+ </connection>
+ <connection
+ name="clk_0.clk/triple_speed_ethernet_0.transmit_clock_connection"
+ kind="clock"
+ version="9.1"
+ start="clk_0.clk"
+ end="triple_speed_ethernet_0.transmit_clock_connection">
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>clk_0</startModule>
+ <startConnectionPoint>clk</startConnectionPoint>
+ <endModule>triple_speed_ethernet_0</endModule>
+ <endConnectionPoint>transmit_clock_connection</endConnectionPoint>
+ </connection>
+ <connection
+ name="cpu_0.data_master/triple_speed_ethernet_0.control_port"
+ kind="avalon"
+ version="6.1"
+ start="cpu_0.data_master"
+ end="triple_speed_ethernet_0.control_port">
+ <parameter name="arbitrationPriority">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="baseAddress">
+ <type>long</type>
+ <value>0x0000</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>cpu_0</startModule>
+ <startConnectionPoint>data_master</startConnectionPoint>
+ <endModule>triple_speed_ethernet_0</endModule>
+ <endConnectionPoint>control_port</endConnectionPoint>
+ </connection>
+ <connection
+ name="clk_0.clk/triple_speed_ethernet_0.control_port_clock_connection"
+ kind="clock"
+ version="9.1"
+ start="clk_0.clk"
+ end="triple_speed_ethernet_0.control_port_clock_connection">
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>clk_0</startModule>
+ <startConnectionPoint>clk</startConnectionPoint>
+ <endModule>triple_speed_ethernet_0</endModule>
+ <endConnectionPoint>control_port_clock_connection</endConnectionPoint>
+ </connection>
+ <connection
+ name="clk_0.clk/sgdma_rx.clk"
+ kind="clock"
+ version="9.1"
+ start="clk_0.clk"
+ end="sgdma_rx.clk">
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>clk_0</startModule>
+ <startConnectionPoint>clk</startConnectionPoint>
+ <endModule>sgdma_rx</endModule>
+ <endConnectionPoint>clk</endConnectionPoint>
+ </connection>
+ <connection
+ name="cpu_0.data_master/sgdma_rx.csr"
+ kind="avalon"
+ version="6.1"
+ start="cpu_0.data_master"
+ end="sgdma_rx.csr">
+ <parameter name="arbitrationPriority">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="baseAddress">
+ <type>long</type>
+ <value>0x0400</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>cpu_0</startModule>
+ <startConnectionPoint>data_master</startConnectionPoint>
+ <endModule>sgdma_rx</endModule>
+ <endConnectionPoint>csr</endConnectionPoint>
+ </connection>
+ <connection
+ name="cpu_0.d_irq/sgdma_rx.csr_irq"
+ kind="interrupt"
+ version="9.1"
+ start="cpu_0.d_irq"
+ end="sgdma_rx.csr_irq">
+ <parameter name="irqNumber">
+ <type>int</type>
+ <value>4</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>cpu_0</startModule>
+ <startConnectionPoint>d_irq</startConnectionPoint>
+ <endModule>sgdma_rx</endModule>
+ <endConnectionPoint>csr_irq</endConnectionPoint>
+ </connection>
+ <connection
+ name="clk_0.clk/descriptor_memory.clk1"
+ kind="clock"
+ version="9.1"
+ start="clk_0.clk"
+ end="descriptor_memory.clk1">
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>clk_0</startModule>
+ <startConnectionPoint>clk</startConnectionPoint>
+ <endModule>descriptor_memory</endModule>
+ <endConnectionPoint>clk1</endConnectionPoint>
+ </connection>
+ <connection
+ name="clk_0.clk/sgdma_tx.clk"
+ kind="clock"
+ version="9.1"
+ start="clk_0.clk"
+ end="sgdma_tx.clk">
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>clk_0</startModule>
+ <startConnectionPoint>clk</startConnectionPoint>
+ <endModule>sgdma_tx</endModule>
+ <endConnectionPoint>clk</endConnectionPoint>
+ </connection>
+ <connection
+ name="cpu_0.data_master/sgdma_tx.csr"
+ kind="avalon"
+ version="6.1"
+ start="cpu_0.data_master"
+ end="sgdma_tx.csr">
+ <parameter name="arbitrationPriority">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="baseAddress">
+ <type>long</type>
+ <value>0x0440</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>cpu_0</startModule>
+ <startConnectionPoint>data_master</startConnectionPoint>
+ <endModule>sgdma_tx</endModule>
+ <endConnectionPoint>csr</endConnectionPoint>
+ </connection>
+ <connection
+ name="cpu_0.d_irq/sgdma_tx.csr_irq"
+ kind="interrupt"
+ version="9.1"
+ start="cpu_0.d_irq"
+ end="sgdma_tx.csr_irq">
+ <parameter name="irqNumber">
+ <type>int</type>
+ <value>5</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>cpu_0</startModule>
+ <startConnectionPoint>d_irq</startConnectionPoint>
+ <endModule>sgdma_tx</endModule>
+ <endConnectionPoint>csr_irq</endConnectionPoint>
+ </connection>
+ <connection
+ name="sgdma_rx.descriptor_read/descriptor_memory.s1"
+ kind="avalon"
+ version="6.1"
+ start="sgdma_rx.descriptor_read"
+ end="descriptor_memory.s1">
+ <parameter name="arbitrationPriority">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="baseAddress">
+ <type>long</type>
+ <value>0x2000</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>sgdma_rx</startModule>
+ <startConnectionPoint>descriptor_read</startConnectionPoint>
+ <endModule>descriptor_memory</endModule>
+ <endConnectionPoint>s1</endConnectionPoint>
+ </connection>
+ <connection
+ name="sgdma_rx.descriptor_write/descriptor_memory.s1"
+ kind="avalon"
+ version="6.1"
+ start="sgdma_rx.descriptor_write"
+ end="descriptor_memory.s1">
+ <parameter name="arbitrationPriority">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="baseAddress">
+ <type>long</type>
+ <value>0x2000</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>sgdma_rx</startModule>
+ <startConnectionPoint>descriptor_write</startConnectionPoint>
+ <endModule>descriptor_memory</endModule>
+ <endConnectionPoint>s1</endConnectionPoint>
+ </connection>
+ <connection
+ name="sgdma_rx.m_write/sdram_0.s1"
+ kind="avalon"
+ version="6.1"
+ start="sgdma_rx.m_write"
+ end="sdram_0.s1">
+ <parameter name="arbitrationPriority">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="baseAddress">
+ <type>long</type>
+ <value>0x01000000</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>sgdma_rx</startModule>
+ <startConnectionPoint>m_write</startConnectionPoint>
+ <endModule>sdram_0</endModule>
+ <endConnectionPoint>s1</endConnectionPoint>
+ </connection>
+ <connection
+ name="triple_speed_ethernet_0.receive/sgdma_rx.in"
+ kind="avalon_streaming"
+ version="9.1"
+ start="triple_speed_ethernet_0.receive"
+ end="sgdma_rx.in">
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>triple_speed_ethernet_0</startModule>
+ <startConnectionPoint>receive</startConnectionPoint>
+ <endModule>sgdma_rx</endModule>
+ <endConnectionPoint>in</endConnectionPoint>
+ </connection>
+ <connection
+ name="sgdma_tx.out/triple_speed_ethernet_0.transmit"
+ kind="avalon_streaming"
+ version="9.1"
+ start="sgdma_tx.out"
+ end="triple_speed_ethernet_0.transmit">
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>sgdma_tx</startModule>
+ <startConnectionPoint>out</startConnectionPoint>
+ <endModule>triple_speed_ethernet_0</endModule>
+ <endConnectionPoint>transmit</endConnectionPoint>
+ </connection>
+ <connection
+ name="sgdma_tx.m_read/sdram_0.s1"
+ kind="avalon"
+ version="6.1"
+ start="sgdma_tx.m_read"
+ end="sdram_0.s1">
+ <parameter name="arbitrationPriority">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="baseAddress">
+ <type>long</type>
+ <value>0x01000000</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>sgdma_tx</startModule>
+ <startConnectionPoint>m_read</startConnectionPoint>
+ <endModule>sdram_0</endModule>
+ <endConnectionPoint>s1</endConnectionPoint>
+ </connection>
+ <connection
+ name="sgdma_tx.descriptor_read/descriptor_memory.s1"
+ kind="avalon"
+ version="6.1"
+ start="sgdma_tx.descriptor_read"
+ end="descriptor_memory.s1">
+ <parameter name="arbitrationPriority">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="baseAddress">
+ <type>long</type>
+ <value>0x2000</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>sgdma_tx</startModule>
+ <startConnectionPoint>descriptor_read</startConnectionPoint>
+ <endModule>descriptor_memory</endModule>
+ <endConnectionPoint>s1</endConnectionPoint>
+ </connection>
+ <connection
+ name="sgdma_tx.descriptor_write/descriptor_memory.s1"
+ kind="avalon"
+ version="6.1"
+ start="sgdma_tx.descriptor_write"
+ end="descriptor_memory.s1">
+ <parameter name="arbitrationPriority">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="baseAddress">
+ <type>long</type>
+ <value>0x2000</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>sgdma_tx</startModule>
+ <startConnectionPoint>descriptor_write</startConnectionPoint>
+ <endModule>descriptor_memory</endModule>
+ <endConnectionPoint>s1</endConnectionPoint>
+ </connection>
<plugin>
- <instanceCount>1</instanceCount>
- <name>clock_source</name>
+ <instanceCount>10</instanceCount>
+ <name>avalon_master</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Clock Output</displayName>
+ <displayName>Avalon Memory Mapped Master</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_epcs_flash_controller</name>
+ <name>altera_avalon_cfi_flash</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>EPCS Serial Flash Controller</displayName>
+ <displayName>Flash Memory Interface (CFI)</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>avalon_tristate_slave</name>
+ <name>altera_avalon_uart</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>UART (RS-232 Serial Port)</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>1</instanceCount>
+ <name>avalon_tristate_master</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Memory Mapped Tristate Slave</displayName>
+ <displayName>Avalon Memory Mapped Tristate Master</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>1</instanceCount>
+ <name>triple_speed_ethernet</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>Triple-Speed Ethernet</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>1</instanceCount>
+ <name>altera_nios2</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>Nios II Processor</displayName>
<version>9.1</version>
</plugin>
<plugin>
@@ -9628,35 +16052,43 @@ parameters are a RESULT of the module parameters. -->
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>3</instanceCount>
- <name>conduit</name>
+ <instanceCount>6</instanceCount>
+ <name>interrupt</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Conduit Endpoint</displayName>
- <version>7.1</version>
+ <subtype>com.altera.entityinterfaces.IConnection</subtype>
+ <displayName>Interrupt Connection</displayName>
+ <version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_jtag_uart</name>
+ <name>altera_avalon_timer</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>JTAG UART</displayName>
+ <displayName>Interval Timer</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>13</instanceCount>
- <name>avalon</name>
+ <name>avalon_slave</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Avalon Memory Mapped Slave</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>2</instanceCount>
+ <name>avalon_streaming</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IConnection</subtype>
- <displayName>Avalon Memory Mapped Connection</displayName>
- <version>6.1</version>
+ <displayName>Avalon Streaming Connection</displayName>
+ <version>9.1</version>
</plugin>
<plugin>
- <instanceCount>11</instanceCount>
- <name>clock_sink</name>
+ <instanceCount>16</instanceCount>
+ <name>clock</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Clock Input</displayName>
+ <subtype>com.altera.entityinterfaces.IConnection</subtype>
+ <displayName>Clock Connection</displayName>
<version>9.1</version>
</plugin>
<plugin>
@@ -9668,125 +16100,133 @@ parameters are a RESULT of the module parameters. -->
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>10</instanceCount>
- <name>clock</name>
+ <instanceCount>22</instanceCount>
+ <name>avalon</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IConnection</subtype>
- <displayName>Clock Connection</displayName>
+ <displayName>Avalon Memory Mapped Connection</displayName>
+ <version>6.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>2</instanceCount>
+ <name>altera_avalon_sgdma</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>Scatter-Gather DMA Controller</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>avalon_tristate_master</name>
+ <name>altera_avalon_jtag_uart</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Memory Mapped Tristate Master</displayName>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>JTAG UART</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_cfi_flash</name>
+ <name>altera_avalon_tri_state_bridge</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Flash Memory Interface (CFI)</displayName>
+ <displayName>Avalon-MM Tristate Bridge</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>4</instanceCount>
- <name>avalon_master</name>
+ <instanceCount>1</instanceCount>
+ <name>nios_custom_instruction_master</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Memory Mapped Master</displayName>
+ <displayName>Custom Instruction Master</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_nios2</name>
+ <name>clock_source</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Nios II Processor</displayName>
+ <displayName>Clock Source</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>1</instanceCount>
- <name>nios_custom_instruction_master</name>
+ <instanceCount>6</instanceCount>
+ <name>interrupt_sender</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Custom Instruction Master</displayName>
+ <displayName>Interrupt Sender</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>1</instanceCount>
- <name>avalon_tristate</name>
+ <instanceCount>17</instanceCount>
+ <name>clock_sink</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IConnection</subtype>
- <displayName>Avalon Memory Mapped Tristate Connection</displayName>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Clock Input</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>1</instanceCount>
- <name>clock_source</name>
+ <instanceCount>2</instanceCount>
+ <name>altera_avalon_onchip_memory2</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Clock Source</displayName>
+ <displayName>On-Chip Memory (RAM or ROM)</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>1</instanceCount>
- <name>altera_avalon_timer</name>
+ <instanceCount>2</instanceCount>
+ <name>avalon_streaming_sink</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Interval Timer</displayName>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Avalon Streaming Sink</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_tri_state_bridge</name>
+ <name>avalon_tristate</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Avalon-MM Tristate Bridge</displayName>
+ <subtype>com.altera.entityinterfaces.IConnection</subtype>
+ <displayName>Avalon Memory Mapped Tristate Connection</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>9</instanceCount>
- <name>avalon_slave</name>
+ <instanceCount>4</instanceCount>
+ <name>conduit</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Memory Mapped Slave</displayName>
- <version>9.1</version>
+ <displayName>Conduit Endpoint</displayName>
+ <version>7.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_uart</name>
+ <name>altera_avalon_epcs_flash_controller</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>UART (RS-232 Serial Port)</displayName>
+ <displayName>EPCS Serial Flash Controller</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>4</instanceCount>
- <name>interrupt</name>
+ <instanceCount>2</instanceCount>
+ <name>avalon_streaming_source</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IConnection</subtype>
- <displayName>Interrupt Connection</displayName>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Avalon Streaming Source</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_onchip_memory2</name>
+ <name>avalon_tristate_slave</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>On-Chip Memory (RAM or ROM)</displayName>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Avalon Memory Mapped Tristate Slave</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>4</instanceCount>
- <name>interrupt_sender</name>
+ <instanceCount>1</instanceCount>
+ <name>clock_source</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Interrupt Sender</displayName>
+ <displayName>Clock Output</displayName>
<version>9.1</version>
</plugin>
<reportVersion>9.1sp2 350</reportVersion>
- <uniqueIdentifier>0023AE674DE0000001292660CE4E</uniqueIdentifier>
+ <uniqueIdentifier>0023AE674DE000000129451D90C8</uniqueIdentifier>
</EnsembleReport>