summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorTobias Klauser <tklauser@distanz.ch>2011-08-02 11:42:24 +0200
committerTobias Klauser <tklauser@distanz.ch>2011-08-02 11:42:24 +0200
commit2cd112c49d5c46c470954bf99f149ecf1e8a9413 (patch)
treecbf07f4fec044d77a867a9f96fa7a12b28762c5c
parent3ead429e520784e8d08da5305ea0b08aa7bc7e1d (diff)
Hook up ISP1362 and support 64MB RAM
-rw-r--r--dionysos_top.vhd38
-rw-r--r--quartus/dionysos_nios2mmu.qsf58
-rw-r--r--quartus/dionysos_nios2mmu.sdc6
-rw-r--r--quartus/dionysos_nios2mmu.sopc180
-rw-r--r--quartus/dionysos_nios2mmu.sopcinfo1603
5 files changed, 1622 insertions, 263 deletions
diff --git a/dionysos_top.vhd b/dionysos_top.vhd
index 6924a30..88f8e1f 100644
--- a/dionysos_top.vhd
+++ b/dionysos_top.vhd
@@ -99,6 +99,24 @@ entity dionysos_top is
dram_ba1_n_o : out std_logic; --! SDRAM Bank Address 0
--@}
+ --!@name ISP1362 Interface
+ --@{
+ --! \anchor isp1362_grp
+ otg_d_io : inout std_logic_vector(15 downto 0); --! ISP1362 Data bus 16 Bits
+ otg_a_o : out std_logic_vector(1 downto 0); --! ISP1362 Address 2 Bits
+ otg_cs_n_o : out std_logic; --! ISP1362 Chip Select
+ otg_oe_n_o : out std_logic; --! ISP1362 Write
+ otg_we_n_o : out std_logic; --! ISP1362 Read
+ otg_reset_n_o : out std_logic; --! ISP1362 Reset
+ otg_int0_i : in std_logic; --! ISP1362 Interrupt 0
+ otg_int1_i : in std_logic; --! ISP1362 Interrupt 1
+ otg_dreq0_i : in std_logic; --! ISP1362 DMA Request 0
+ otg_dreq1_i : in std_logic; --! ISP1362 DMA Request 1
+ otg_dack0_n_o : out std_logic; --! ISP1362 DMA Acknowledge 0
+ otg_dack1_n_o : out std_logic; --! ISP1362 DMA Acknowledge 1
+ usb_clk_i : in std_logic; --! 12MHz USB clock
+ --@}
+
--!@name Flash Interface
--@{
--! \anchor flash_grp
@@ -387,7 +405,8 @@ architecture rtl of dionysos_top is
reset_n => reset_nios_n,
-- sdram_0
- zs_addr_from_the_sdram_0 => dram_a_o(11 downto 0), -- dram_a_o(12) is for 32Mbit SDRAM
+ zs_addr_from_the_sdram_0 => dram_a_o(12 downto 0), -- 64MB
+-- zs_addr_from_the_sdram_0 => dram_a_o(11 downto 0), -- 16MB
zs_ba_from_the_sdram_0 => dram_ba,
zs_cas_n_from_the_sdram_0 => dram_cas_n_o,
zs_cke_from_the_sdram_0 => dram_cke_o,
@@ -439,7 +458,22 @@ architecture rtl of dionysos_top is
rx_clk_to_the_tse_mac => pll_clk_25,
set_1000_to_the_tse_mac => '0', -- tie to 0 if not used
set_10_to_the_tse_mac => '0', -- tie to 0 if not used
- tx_clk_to_the_tse_mac => pll_clk_25
+ tx_clk_to_the_tse_mac => pll_clk_25,
+
+ -- ISP1362 USB OTG controller
+ OTG_ADDR_from_the_ISP1362 => otg_a_o,
+ OTG_CS_N_from_the_ISP1362 => otg_cs_n_o,
+ OTG_DACK0_N_from_the_ISP1362 => otg_dack0_n_o,
+ OTG_DACK1_N_from_the_ISP1362 => otg_dack1_n_o,
+ OTG_DATA_to_and_from_the_ISP1362 => otg_d_io,
+ OTG_FSPEED_from_the_ISP1362 => open,
+ OTG_LSPEED_from_the_ISP1362 => open,
+ OTG_RD_N_from_the_ISP1362 => otg_oe_n_o,
+ OTG_RST_N_from_the_ISP1362 => otg_reset_n_o,
+ OTG_WR_N_from_the_ISP1362 => otg_we_n_o,
+ OTG_INT0_to_the_ISP1362 => otg_int0_i,
+ OTG_INT1_to_the_ISP1362 => otg_int1_i
+
);
---------------------------------------------------------------------
diff --git a/quartus/dionysos_nios2mmu.qsf b/quartus/dionysos_nios2mmu.qsf
index f9bb63c..4e44174 100644
--- a/quartus/dionysos_nios2mmu.qsf
+++ b/quartus/dionysos_nios2mmu.qsf
@@ -56,7 +56,7 @@ set_global_assignment -name NUM_PARALLEL_PROCESSORS ALL
set_location_assignment PIN_G2 -to clock_50_i
set_location_assignment PIN_T2 -to et_phy1_tx_clk_1_i
set_location_assignment PIN_T1 -to et_phy1_rx_clk_1_i
-#set_location_assignment PIN_G21 -to usb_clk_i
+set_location_assignment PIN_G21 -to usb_clk_i
#set_location_assignment PIN_G22 -to sdfe_clk_20mhz_i
set_location_assignment PIN_T21 -to et_phy2_rx_clk_2_i
set_location_assignment PIN_T22 -to et_phy2_tx_clk_2_i
@@ -192,34 +192,34 @@ set_location_assignment PIN_AA10 -to gen_led_g_o[3]
# FPGA bank 4
# -----------
-#set_location_assignment PIN_AA13 -to otg_d_io[0]
-#set_location_assignment PIN_AB13 -to otg_d_io[1]
-#set_location_assignment PIN_AA14 -to otg_d_io[2]
-#set_location_assignment PIN_AB14 -to otg_d_io[3]
-#set_location_assignment PIN_V12 -to otg_d_io[4]
-#set_location_assignment PIN_W13 -to otg_d_io[5]
-#set_location_assignment PIN_Y13 -to otg_d_io[6]
-#set_location_assignment PIN_AA15 -to otg_d_io[7]
-#set_location_assignment PIN_AB15 -to otg_d_io[8]
-#set_location_assignment PIN_U12 -to otg_d_io[9]
-#set_location_assignment PIN_AA16 -to otg_d_io[10]
-#set_location_assignment PIN_AB16 -to otg_d_io[11]
-#set_location_assignment PIN_T12 -to otg_d_io[12]
-#set_location_assignment PIN_T13 -to otg_d_io[13]
-#set_location_assignment PIN_V13 -to otg_d_io[14]
-#set_location_assignment PIN_W14 -to otg_d_io[15]
-#set_location_assignment PIN_U13 -to otg_a_o[0]
-#set_location_assignment PIN_V14 -to otg_a_o[1]
-#set_location_assignment PIN_U14 -to otg_cs_n_o
-#set_location_assignment PIN_U15 -to otg_we_n_o
-#set_location_assignment PIN_V15 -to otg_oe_n_o
-#set_location_assignment PIN_W15 -to otg_int0_i
-#set_location_assignment PIN_T14 -to otg_int1_i
-#set_location_assignment PIN_T15 -to otg_reset_n_o
-#set_location_assignment PIN_AB18 -to otg_dreq0_i
-#set_location_assignment PIN_AA17 -to otg_dreq1_i
-#set_location_assignment PIN_AB17 -to otg_dack0_n_o
-#set_location_assignment PIN_AA18 -to otg_dack1_n_o
+set_location_assignment PIN_AA13 -to otg_d_io[0]
+set_location_assignment PIN_AB13 -to otg_d_io[1]
+set_location_assignment PIN_AA14 -to otg_d_io[2]
+set_location_assignment PIN_AB14 -to otg_d_io[3]
+set_location_assignment PIN_V12 -to otg_d_io[4]
+set_location_assignment PIN_W13 -to otg_d_io[5]
+set_location_assignment PIN_Y13 -to otg_d_io[6]
+set_location_assignment PIN_AA15 -to otg_d_io[7]
+set_location_assignment PIN_AB15 -to otg_d_io[8]
+set_location_assignment PIN_U12 -to otg_d_io[9]
+set_location_assignment PIN_AA16 -to otg_d_io[10]
+set_location_assignment PIN_AB16 -to otg_d_io[11]
+set_location_assignment PIN_T12 -to otg_d_io[12]
+set_location_assignment PIN_T13 -to otg_d_io[13]
+set_location_assignment PIN_V13 -to otg_d_io[14]
+set_location_assignment PIN_W14 -to otg_d_io[15]
+set_location_assignment PIN_U13 -to otg_a_o[0]
+set_location_assignment PIN_V14 -to otg_a_o[1]
+set_location_assignment PIN_U14 -to otg_cs_n_o
+set_location_assignment PIN_U15 -to otg_we_n_o
+set_location_assignment PIN_V15 -to otg_oe_n_o
+set_location_assignment PIN_W15 -to otg_int0_i
+set_location_assignment PIN_T14 -to otg_int1_i
+set_location_assignment PIN_T15 -to otg_reset_n_o
+set_location_assignment PIN_AB18 -to otg_dreq0_i
+set_location_assignment PIN_AA17 -to otg_dreq1_i
+set_location_assignment PIN_AB17 -to otg_dack0_n_o
+set_location_assignment PIN_AA18 -to otg_dack1_n_o
set_location_assignment PIN_AB19 -to et_phy2_rx_dv_1_i
set_location_assignment PIN_W17 -to gen_led_r_o[6]
set_location_assignment PIN_Y17 -to et_phy2_tx_en_1_o
diff --git a/quartus/dionysos_nios2mmu.sdc b/quartus/dionysos_nios2mmu.sdc
index dab2e78..2fe3a25 100644
--- a/quartus/dionysos_nios2mmu.sdc
+++ b/quartus/dionysos_nios2mmu.sdc
@@ -167,6 +167,12 @@ set_false_path -from [get_ports {switch_i[*]}]
#-------------------
set_false_path -from [get_ports {reserve?_i}]
+# Philips ISP 1362 OTG Controller
+# timing is to do in SOPC System
+# -------------------
+set_false_path -from [get_ports {otg_d_io[*] otg_int0_i otg_int1_i otg_dreq0_i otg_dreq1_i usb_clk_i}]
+set_false_path -to [get_ports {otg_d_io[*] otg_a_o[*] otg_cs_n_o otg_oe_n_o otg_we_n_o otg_reset_n_o otg_dack0_n_o otg_dack1_n_o}]
+
# Parallel Flash (S29AL032D 70TF100)
# timing is to do in SOPC System
#-------------------
diff --git a/quartus/dionysos_nios2mmu.sopc b/quartus/dionysos_nios2mmu.sopc
index 82b9b0b..9d5005e 100644
--- a/quartus/dionysos_nios2mmu.sopc
+++ b/quartus/dionysos_nios2mmu.sopc
@@ -2,6 +2,14 @@
<system name="dionysos_nios2mmu">
<parameter name="bonusData"><![CDATA[bonusData
{
+ element ISP1362
+ {
+ datum _sortIndex
+ {
+ value = "16";
+ type = "int";
+ }
+ }
element jtag_uart_0.avalon_jtag_slave
{
datum _lockedAddress
@@ -11,7 +19,33 @@
}
datum baseAddress
{
- value = "41953472";
+ value = "117440512";
+ type = "long";
+ }
+ }
+ element ISP1362.avalon_slave_0
+ {
+ datum _lockedAddress
+ {
+ value = "1";
+ type = "boolean";
+ }
+ datum baseAddress
+ {
+ value = "136445952";
+ type = "long";
+ }
+ }
+ element ISP1362.avalon_slave_1
+ {
+ datum _lockedAddress
+ {
+ value = "1";
+ type = "boolean";
+ }
+ datum baseAddress
+ {
+ value = "136445984";
type = "long";
}
}
@@ -45,7 +79,7 @@
}
datum baseAddress
{
- value = "41952256";
+ value = "134226944";
type = "long";
}
}
@@ -58,7 +92,7 @@
}
datum baseAddress
{
- value = "4759552";
+ value = "134217728";
type = "long";
}
}
@@ -71,11 +105,11 @@
}
datum megawizard_uipreferences
{
- value = "{}";
+ value = "{output_language=VHDL, output_directory=/home/tklauser/projects/dionysos_nios2mmu/quartus}";
type = "String";
}
}
- element sgdma_rx.csr
+ element sgdma_tx.csr
{
datum _lockedAddress
{
@@ -84,11 +118,11 @@
}
datum baseAddress
{
- value = "41953280";
+ value = "136314880";
type = "long";
}
}
- element sgdma_tx.csr
+ element sgdma_rx.csr
{
datum _lockedAddress
{
@@ -97,7 +131,7 @@
}
datum baseAddress
{
- value = "41953344";
+ value = "135266304";
type = "long";
}
}
@@ -126,7 +160,7 @@
}
datum baseAddress
{
- value = "41949184";
+ value = "83886080";
type = "long";
}
}
@@ -152,7 +186,7 @@
}
datum baseAddress
{
- value = "41947136";
+ value = "75497472";
type = "long";
}
}
@@ -178,11 +212,11 @@
}
datum megawizard_uipreferences
{
- value = "{}";
+ value = "{output_language=VHDL, output_directory=/home/tklauser/projects/dionysos_nios2mmu/quartus}";
type = "String";
}
}
- element watchdog_timer.s1
+ element onchip_memory2_0.s1
{
datum _lockedAddress
{
@@ -191,11 +225,11 @@
}
datum baseAddress
{
- value = "76021760";
+ value = "75505664";
type = "long";
}
}
- element timer_0.s1
+ element uart_0.s1
{
datum _lockedAddress
{
@@ -204,11 +238,11 @@
}
datum baseAddress
{
- value = "41953408";
+ value = "100663296";
type = "long";
}
}
- element cfi_flash_0.s1
+ element timer_0.s1
{
datum _lockedAddress
{
@@ -217,11 +251,11 @@
}
datum baseAddress
{
- value = "37748736";
+ value = "71303168";
type = "long";
}
}
- element uart_0.s1
+ element sdram_0.s1
{
datum _lockedAddress
{
@@ -230,11 +264,11 @@
}
datum baseAddress
{
- value = "41953440";
+ value = "0";
type = "long";
}
}
- element sdram_0.s1
+ element watchdog_timer.s1
{
datum _lockedAddress
{
@@ -243,11 +277,11 @@
}
datum baseAddress
{
- value = "16777216";
+ value = "76021760";
type = "long";
}
}
- element onchip_memory2_0.s1
+ element descriptor_memory.s1
{
datum _lockedAddress
{
@@ -256,11 +290,11 @@
}
datum baseAddress
{
- value = "41951232";
+ value = "75759616";
type = "long";
}
}
- element descriptor_memory.s1
+ element cfi_flash_0.s1
{
datum _lockedAddress
{
@@ -269,7 +303,7 @@
}
datum baseAddress
{
- value = "75759616";
+ value = "67108864";
type = "long";
}
}
@@ -282,7 +316,7 @@
}
datum baseAddress
{
- value = "41951232";
+ value = "75505664";
type = "long";
}
}
@@ -347,7 +381,7 @@
}
datum megawizard_uipreferences
{
- value = "{output_language=VHDL, output_directory=/home/tklauser/projects/dionysos_nios2mmu/quartus}";
+ value = "{}";
type = "String";
}
}
@@ -386,7 +420,7 @@
}
datum megawizard_uipreferences
{
- value = "{}";
+ value = "{output_language=VHDL, output_directory=/home/tklauser/projects/dionysos_nios2mmu/quartus}";
type = "String";
}
}
@@ -410,8 +444,8 @@
<parameter name="hardcopyCompatible" value="false" />
<parameter name="hdlLanguage" value="VHDL" />
<parameter name="projectName">dionysos_nios2mmu.qpf</parameter>
- <parameter name="systemHash" value="-77631020099" />
- <parameter name="timeStamp" value="1295459557215" />
+ <parameter name="systemHash" value="-88262254885" />
+ <parameter name="timeStamp" value="1308843850996" />
<module name="clk_0" kind="clock_source" version="9.1" enabled="1">
<parameter name="clockFrequency" value="50000000" />
<parameter name="clockFrequencyKnown" value="true" />
@@ -462,7 +496,7 @@
<parameter name="mmu_udtlbNumEntries" value="_6" />
<parameter name="mmu_tlbPtrSz" value="_7" />
<parameter name="mmu_tlbNumWays" value="_16" />
- <parameter name="mmu_processIDNumBits" value="_8" />
+ <parameter name="mmu_processIDNumBits" value="_10" />
<parameter name="mmu_enabled" value="true" />
<parameter name="mmu_autoAssignTlbPtrSz" value="true" />
<parameter name="mmu_TLBMissExcSlave" value="onchip_memory2_0.s1" />
@@ -505,9 +539,9 @@
<parameter name="TRP" value="20.0" />
<parameter name="TWR" value="14.0" />
<parameter name="casLatency" value="3" />
- <parameter name="columnWidth" value="9" />
+ <parameter name="columnWidth" value="10" />
<parameter name="dataWidth" value="16" />
- <parameter name="generateSimulationModel" value="true" />
+ <parameter name="generateSimulationModel" value="false" />
<parameter name="initNOPDelay" value="0.0" />
<parameter name="initRefreshCommands" value="2" />
<parameter name="masteredTristateBridgeSlave" value="" />
@@ -518,7 +552,7 @@
<parameter name="powerUpDelay" value="100.0" />
<parameter name="refreshPeriod" value="15.625" />
<parameter name="registerDataIn" value="true" />
- <parameter name="rowWidth" value="12" />
+ <parameter name="rowWidth" value="13" />
</module>
<module
name="tri_state_bridge_0"
@@ -558,7 +592,7 @@
<parameter name="resetOutput" value="false" />
<parameter name="snapshot" value="true" />
<parameter name="timeoutPulseOutput" value="false" />
- <parameter name="timerPreset" value="CUSTOM" />
+ <parameter name="timerPreset" value="FULL_FEATURED" />
</module>
<module name="uart_0" kind="altera_avalon_uart" version="9.1" enabled="1">
<parameter name="baud" value="115200" />
@@ -604,7 +638,7 @@
<parameter name="initMemContent" value="true" />
<parameter name="initializationFileName" value="onchip_memory2_0" />
<parameter name="instanceID" value="NONE" />
- <parameter name="memorySize" value="1024" />
+ <parameter name="memorySize" value="2048" />
<parameter name="readDuringWriteMode" value="DONT_CARE" />
<parameter name="simAllowMRAMContentsFile" value="false" />
<parameter name="slave1Latency" value="1" />
@@ -738,7 +772,7 @@
<parameter name="alwaysRun" value="true" />
<parameter name="counterSize" value="32" />
<parameter name="fixedPeriod" value="true" />
- <parameter name="period" value="10" />
+ <parameter name="period" value="5" />
<parameter name="periodUnits" value="SEC" />
<parameter name="resetOutput" value="true" />
<parameter name="snapshot" value="false" />
@@ -746,6 +780,7 @@
<parameter name="timerPreset" value="WATCHDOG" />
</module>
<module name="sysid" kind="altera_avalon_sysid" version="9.1" enabled="1" />
+ <module name="ISP1362" kind="ISP1362_CTRL" version="1.0" enabled="1" />
<connection kind="clock" version="9.1" start="clk_0.clk" end="cpu_0.clk" />
<connection
kind="avalon"
@@ -753,7 +788,7 @@
start="cpu_0.instruction_master"
end="cpu_0.jtag_debug_module">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x02801000" />
+ <parameter name="baseAddress" value="0x04800000" />
</connection>
<connection
kind="avalon"
@@ -761,7 +796,7 @@
start="cpu_0.data_master"
end="cpu_0.jtag_debug_module">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x02801000" />
+ <parameter name="baseAddress" value="0x04800000" />
</connection>
<connection kind="clock" version="9.1" start="clk_0.clk" end="sdram_0.clk" />
<connection
@@ -770,7 +805,7 @@
start="cpu_0.instruction_master"
end="sdram_0.s1">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x01000000" />
+ <parameter name="baseAddress" value="0x0000" />
</connection>
<connection
kind="avalon"
@@ -778,7 +813,7 @@
start="cpu_0.data_master"
end="sdram_0.s1">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x01000000" />
+ <parameter name="baseAddress" value="0x0000" />
</connection>
<connection
kind="clock"
@@ -808,7 +843,7 @@
start="tri_state_bridge_0.tristate_master"
end="cfi_flash_0.s1">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x02400000" />
+ <parameter name="baseAddress" value="0x04000000" />
</connection>
<connection
kind="clock"
@@ -821,7 +856,7 @@
start="cpu_0.instruction_master"
end="epcs_flash_controller_0.epcs_control_port">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x02801800" />
+ <parameter name="baseAddress" value="0x05000000" />
</connection>
<connection
kind="avalon"
@@ -829,7 +864,7 @@
start="cpu_0.data_master"
end="epcs_flash_controller_0.epcs_control_port">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x02801800" />
+ <parameter name="baseAddress" value="0x05000000" />
</connection>
<connection
kind="interrupt"
@@ -845,7 +880,7 @@
start="cpu_0.data_master"
end="timer_0.s1">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x02802880" />
+ <parameter name="baseAddress" value="0x04400000" />
</connection>
<connection kind="interrupt" version="9.1" start="cpu_0.d_irq" end="timer_0.irq">
<parameter name="irqNumber" value="0" />
@@ -853,7 +888,7 @@
<connection kind="clock" version="9.1" start="clk_0.clk" end="uart_0.clk" />
<connection kind="avalon" version="6.1" start="cpu_0.data_master" end="uart_0.s1">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x028028a0" />
+ <parameter name="baseAddress" value="0x06000000" />
</connection>
<connection kind="interrupt" version="9.1" start="cpu_0.d_irq" end="uart_0.irq">
<parameter name="irqNumber" value="2" />
@@ -865,7 +900,7 @@
start="cpu_0.data_master"
end="jtag_uart_0.avalon_jtag_slave">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x028028c0" />
+ <parameter name="baseAddress" value="0x07000000" />
</connection>
<connection
kind="interrupt"
@@ -890,7 +925,7 @@
start="cpu_0.tightly_coupled_instruction_master_0"
end="onchip_memory2_0.s1">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x02802000" />
+ <parameter name="baseAddress" value="0x04802000" />
</connection>
<connection
kind="avalon"
@@ -898,7 +933,7 @@
start="cpu_0.tightly_coupled_data_master_0"
end="onchip_memory2_0.s2">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x02802000" />
+ <parameter name="baseAddress" value="0x04802000" />
</connection>
<connection
kind="clock"
@@ -916,7 +951,7 @@
start="cpu_0.data_master"
end="tse_mac.control_port">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x02802400" />
+ <parameter name="baseAddress" value="0x08002400" />
</connection>
<connection
kind="clock"
@@ -930,7 +965,7 @@
start="cpu_0.data_master"
end="sgdma_rx.csr">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x02802800" />
+ <parameter name="baseAddress" value="0x08100000" />
</connection>
<connection
kind="interrupt"
@@ -951,7 +986,7 @@
start="cpu_0.data_master"
end="sgdma_tx.csr">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x02802840" />
+ <parameter name="baseAddress" value="0x08200000" />
</connection>
<connection
kind="interrupt"
@@ -978,7 +1013,7 @@
</connection>
<connection kind="avalon" version="6.1" start="sgdma_rx.m_write" end="sdram_0.s1">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x01000000" />
+ <parameter name="baseAddress" value="0x0000" />
</connection>
<connection
kind="avalon_streaming"
@@ -992,7 +1027,7 @@
end="tse_mac.transmit" />
<connection kind="avalon" version="6.1" start="sgdma_tx.m_read" end="sdram_0.s1">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x01000000" />
+ <parameter name="baseAddress" value="0x0000" />
</connection>
<connection
kind="avalon"
@@ -1041,6 +1076,41 @@
start="cpu_0.data_master"
end="sysid.control_slave">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x0048a000" />
+ <parameter name="baseAddress" value="0x08000000" />
+ </connection>
+ <connection
+ kind="clock"
+ version="9.1"
+ start="clk_0.clk"
+ end="ISP1362.clock_reset" />
+ <connection
+ kind="avalon"
+ version="6.1"
+ start="cpu_0.data_master"
+ end="ISP1362.avalon_slave_0">
+ <parameter name="arbitrationPriority" value="1" />
+ <parameter name="baseAddress" value="0x08220000" />
+ </connection>
+ <connection
+ kind="avalon"
+ version="6.1"
+ start="cpu_0.data_master"
+ end="ISP1362.avalon_slave_1">
+ <parameter name="arbitrationPriority" value="1" />
+ <parameter name="baseAddress" value="0x08220020" />
+ </connection>
+ <connection
+ kind="interrupt"
+ version="9.1"
+ start="cpu_0.d_irq"
+ end="ISP1362.interrupt_sender_1">
+ <parameter name="irqNumber" value="8" />
+ </connection>
+ <connection
+ kind="interrupt"
+ version="9.1"
+ start="cpu_0.d_irq"
+ end="ISP1362.interrupt_sender_0">
+ <parameter name="irqNumber" value="7" />
</connection>
</system>
diff --git a/quartus/dionysos_nios2mmu.sopcinfo b/quartus/dionysos_nios2mmu.sopcinfo
index a2f3b38..b036bb4 100644
--- a/quartus/dionysos_nios2mmu.sopcinfo
+++ b/quartus/dionysos_nios2mmu.sopcinfo
@@ -4,7 +4,7 @@
kind="com_altera_sopcmodel_ensemble_Ensemble"
version="9.1">
<!-- Format version 9.1sp2 350 (Future versions may contain additional information.) -->
- <!-- 2011.01.19.18:53:03 -->
+ <!-- 2011.06.23.17:44:21 -->
<!-- A collection of modules and connections -->
<parameter name="deviceFamily">
<type>com.altera.entityinterfaces.moduleext.IDeviceFamily$EDeviceFamily</type>
@@ -48,7 +48,7 @@
</parameter>
<parameter name="systemHash">
<type>long</type>
- <value>-77631020099</value>
+ <value>-88262254885</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -56,7 +56,7 @@
</parameter>
<parameter name="timeStamp">
<type>long</type>
- <value>1295459557215</value>
+ <value>1308843850996</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -287,6 +287,12 @@ parameters are a RESULT of the module parameters. -->
<slaveName>clk</slaveName>
<name>sysid.clk</name>
</clockDomainMember>
+ <clockDomainMember>
+ <isBridge>false</isBridge>
+ <moduleName>ISP1362</moduleName>
+ <slaveName>clock_reset</slaveName>
+ <name>ISP1362.clock_reset</name>
+ </clockDomainMember>
</interface>
<interface name="clk_in" kind="clock_sink" version="9.1">
<!-- The connection points exposed by a module instance for the
@@ -461,7 +467,7 @@ the requested settings for a module instance. -->
</assignment>
<assignment>
<name>embeddedsw.CMacro.PROCESS_ID_NUM_BITS</name>
- <value>8</value>
+ <value>10</value>
</assignment>
<assignment>
<name>embeddedsw.CMacro.TLB_NUM_WAYS</name>
@@ -481,7 +487,7 @@ the requested settings for a module instance. -->
</assignment>
<assignment>
<name>embeddedsw.CMacro.FAST_TLB_MISS_EXCEPTION_ADDR</name>
- <value>0xc2802000</value>
+ <value>0xc4802000</value>
</assignment>
<assignment>
<name>embeddedsw.configuration.mmu_TLBMissExcSlave</name>
@@ -493,15 +499,15 @@ the requested settings for a module instance. -->
</assignment>
<assignment>
<name>embeddedsw.CMacro.EXCEPTION_ADDR</name>
- <value>0xc1000020</value>
+ <value>0xc0000020</value>
</assignment>
<assignment>
<name>embeddedsw.CMacro.RESET_ADDR</name>
- <value>0xc2400000</value>
+ <value>0xc4000000</value>
</assignment>
<assignment>
<name>embeddedsw.CMacro.BREAK_ADDR</name>
- <value>0xc2801020</value>
+ <value>0xc4800020</value>
</assignment>
<assignment>
<name>embeddedsw.CMacro.HAS_DEBUG_STUB</name>
@@ -545,11 +551,11 @@ the requested settings for a module instance. -->
</assignment>
<assignment>
<name>embeddedsw.CMacro.INST_ADDR_WIDTH</name>
- <value>26</value>
+ <value>27</value>
</assignment>
<assignment>
<name>embeddedsw.CMacro.DATA_ADDR_WIDTH</name>
- <value>27</value>
+ <value>28</value>
</assignment>
<assignment>
<name>embeddedsw.CMacro.NUM_OF_SHADOW_REG_SETS</name>
@@ -917,7 +923,7 @@ the requested settings for a module instance. -->
</parameter>
<parameter name="mmu_processIDNumBits">
<type>com.altera.nios2.components.Nios2MMU$OptProcessIDNumBits</type>
- <value>_8</value>
+ <value>_10</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -1503,7 +1509,7 @@ parameters are a RESULT of the module parameters. -->
<port>
<name>i_address</name>
<direction>Output</direction>
- <width>26</width>
+ <width>27</width>
<role>address</role>
</port>
<port>
@@ -1535,7 +1541,7 @@ parameters are a RESULT of the module parameters. -->
<moduleName>cpu_0</moduleName>
<slaveName>jtag_debug_module</slaveName>
<name>cpu_0.jtag_debug_module</name>
- <baseAddress>41947136</baseAddress>
+ <baseAddress>75497472</baseAddress>
<span>2048</span>
</memoryBlock>
<memoryBlock>
@@ -1543,15 +1549,15 @@ parameters are a RESULT of the module parameters. -->
<moduleName>sdram_0</moduleName>
<slaveName>s1</slaveName>
<name>sdram_0.s1</name>
- <baseAddress>16777216</baseAddress>
- <span>16777216</span>
+ <baseAddress>0</baseAddress>
+ <span>67108864</span>
</memoryBlock>
<memoryBlock>
<isBridge>false</isBridge>
<moduleName>cfi_flash_0</moduleName>
<slaveName>s1</slaveName>
<name>cfi_flash_0.s1</name>
- <baseAddress>37748736</baseAddress>
+ <baseAddress>67108864</baseAddress>
<span>4194304</span>
</memoryBlock>
<memoryBlock>
@@ -1559,7 +1565,7 @@ parameters are a RESULT of the module parameters. -->
<moduleName>epcs_flash_controller_0</moduleName>
<slaveName>epcs_control_port</slaveName>
<name>epcs_flash_controller_0.epcs_control_port</name>
- <baseAddress>41949184</baseAddress>
+ <baseAddress>83886080</baseAddress>
<span>2048</span>
</memoryBlock>
</interface>
@@ -1823,7 +1829,7 @@ parameters are a RESULT of the module parameters. -->
<port>
<name>icm0_address</name>
<direction>Output</direction>
- <width>26</width>
+ <width>27</width>
<role>address</role>
</port>
<port>
@@ -1861,8 +1867,8 @@ parameters are a RESULT of the module parameters. -->
<moduleName>onchip_memory2_0</moduleName>
<slaveName>s1</slaveName>
<name>onchip_memory2_0.s1</name>
- <baseAddress>41951232</baseAddress>
- <span>1024</span>
+ <baseAddress>75505664</baseAddress>
+ <span>2048</span>
</memoryBlock>
</interface>
<interface name="data_master" kind="avalon_master" version="9.1">
@@ -2122,7 +2128,7 @@ parameters are a RESULT of the module parameters. -->
<port>
<name>d_address</name>
<direction>Output</direction>
- <width>27</width>
+ <width>28</width>
<role>address</role>
</port>
<port>
@@ -2178,7 +2184,7 @@ parameters are a RESULT of the module parameters. -->
<moduleName>cpu_0</moduleName>
<slaveName>jtag_debug_module</slaveName>
<name>cpu_0.jtag_debug_module</name>
- <baseAddress>41947136</baseAddress>
+ <baseAddress>75497472</baseAddress>
<span>2048</span>
</memoryBlock>
<memoryBlock>
@@ -2186,15 +2192,15 @@ parameters are a RESULT of the module parameters. -->
<moduleName>sdram_0</moduleName>
<slaveName>s1</slaveName>
<name>sdram_0.s1</name>
- <baseAddress>16777216</baseAddress>
- <span>16777216</span>
+ <baseAddress>0</baseAddress>
+ <span>67108864</span>
</memoryBlock>
<memoryBlock>
<isBridge>false</isBridge>
<moduleName>cfi_flash_0</moduleName>
<slaveName>s1</slaveName>
<name>cfi_flash_0.s1</name>
- <baseAddress>37748736</baseAddress>
+ <baseAddress>67108864</baseAddress>
<span>4194304</span>
</memoryBlock>
<memoryBlock>
@@ -2202,7 +2208,7 @@ parameters are a RESULT of the module parameters. -->
<moduleName>epcs_flash_controller_0</moduleName>
<slaveName>epcs_control_port</slaveName>
<name>epcs_flash_controller_0.epcs_control_port</name>
- <baseAddress>41949184</baseAddress>
+ <baseAddress>83886080</baseAddress>
<span>2048</span>
</memoryBlock>
<memoryBlock>
@@ -2210,7 +2216,7 @@ parameters are a RESULT of the module parameters. -->
<moduleName>timer_0</moduleName>
<slaveName>s1</slaveName>
<name>timer_0.s1</name>
- <baseAddress>41953408</baseAddress>
+ <baseAddress>71303168</baseAddress>
<span>32</span>
</memoryBlock>
<memoryBlock>
@@ -2218,7 +2224,7 @@ parameters are a RESULT of the module parameters. -->
<moduleName>uart_0</moduleName>
<slaveName>s1</slaveName>
<name>uart_0.s1</name>
- <baseAddress>41953440</baseAddress>
+ <baseAddress>100663296</baseAddress>
<span>32</span>
</memoryBlock>
<memoryBlock>
@@ -2226,7 +2232,7 @@ parameters are a RESULT of the module parameters. -->
<moduleName>jtag_uart_0</moduleName>
<slaveName>avalon_jtag_slave</slaveName>
<name>jtag_uart_0.avalon_jtag_slave</name>
- <baseAddress>41953472</baseAddress>
+ <baseAddress>117440512</baseAddress>
<span>8</span>
</memoryBlock>
<memoryBlock>
@@ -2234,7 +2240,7 @@ parameters are a RESULT of the module parameters. -->
<moduleName>tse_mac</moduleName>
<slaveName>control_port</slaveName>
<name>tse_mac.control_port</name>
- <baseAddress>41952256</baseAddress>
+ <baseAddress>134226944</baseAddress>
<span>1024</span>
</memoryBlock>
<memoryBlock>
@@ -2242,7 +2248,7 @@ parameters are a RESULT of the module parameters. -->
<moduleName>sgdma_rx</moduleName>
<slaveName>csr</slaveName>
<name>sgdma_rx.csr</name>
- <baseAddress>41953280</baseAddress>
+ <baseAddress>135266304</baseAddress>
<span>64</span>
</memoryBlock>
<memoryBlock>
@@ -2250,7 +2256,7 @@ parameters are a RESULT of the module parameters. -->
<moduleName>sgdma_tx</moduleName>
<slaveName>csr</slaveName>
<name>sgdma_tx.csr</name>
- <baseAddress>41953344</baseAddress>
+ <baseAddress>136314880</baseAddress>
<span>64</span>
</memoryBlock>
<memoryBlock>
@@ -2274,9 +2280,25 @@ parameters are a RESULT of the module parameters. -->
<moduleName>sysid</moduleName>
<slaveName>control_slave</slaveName>
<name>sysid.control_slave</name>
- <baseAddress>4759552</baseAddress>
+ <baseAddress>134217728</baseAddress>
<span>8</span>
</memoryBlock>
+ <memoryBlock>
+ <isBridge>false</isBridge>
+ <moduleName>ISP1362</moduleName>
+ <slaveName>avalon_slave_0</slaveName>
+ <name>ISP1362.avalon_slave_0</name>
+ <baseAddress>136445952</baseAddress>
+ <span>16</span>
+ </memoryBlock>
+ <memoryBlock>
+ <isBridge>false</isBridge>
+ <moduleName>ISP1362</moduleName>
+ <slaveName>avalon_slave_1</slaveName>
+ <name>ISP1362.avalon_slave_1</name>
+ <baseAddress>136445984</baseAddress>
+ <span>4</span>
+ </memoryBlock>
</interface>
<interface name="d_irq" kind="interrupt_receiver" version="9.1">
<!-- The connection points exposed by a module instance for the
@@ -2379,6 +2401,20 @@ parameters are a RESULT of the module parameters. -->
<name>watchdog_timer.irq</name>
<interruptNumber>6</interruptNumber>
</interrupt>
+ <interrupt>
+ <isBridge>false</isBridge>
+ <moduleName>ISP1362</moduleName>
+ <slaveName>interrupt_sender_1</slaveName>
+ <name>ISP1362.interrupt_sender_1</name>
+ <interruptNumber>8</interruptNumber>
+ </interrupt>
+ <interrupt>
+ <isBridge>false</isBridge>
+ <moduleName>ISP1362</moduleName>
+ <slaveName>interrupt_sender_0</slaveName>
+ <name>ISP1362.interrupt_sender_0</name>
+ <interruptNumber>7</interruptNumber>
+ </interrupt>
</interface>
<interface
name="tightly_coupled_data_master_0"
@@ -2640,7 +2676,7 @@ parameters are a RESULT of the module parameters. -->
<port>
<name>dcm0_address</name>
<direction>Output</direction>
- <width>27</width>
+ <width>28</width>
<role>address</role>
</port>
<port>
@@ -2696,8 +2732,8 @@ parameters are a RESULT of the module parameters. -->
<moduleName>onchip_memory2_0</moduleName>
<slaveName>s2</slaveName>
<name>onchip_memory2_0.s2</name>
- <baseAddress>41951232</baseAddress>
- <span>1024</span>
+ <baseAddress>75505664</baseAddress>
+ <span>2048</span>
</memoryBlock>
</interface>
<interface name="jtag_debug_module" kind="avalon_slave" version="9.1">
@@ -3228,7 +3264,7 @@ the requested settings for a module instance. -->
</assignment>
<assignment>
<name>embeddedsw.CMacro.SIM_MODEL_BASE</name>
- <value>1</value>
+ <value>0</value>
</assignment>
<assignment>
<name>embeddedsw.CMacro.SDRAM_DATA_WIDTH</name>
@@ -3236,15 +3272,15 @@ the requested settings for a module instance. -->
</assignment>
<assignment>
<name>embeddedsw.CMacro.SDRAM_ADDR_WIDTH</name>
- <value>23</value>
+ <value>25</value>
</assignment>
<assignment>
<name>embeddedsw.CMacro.SDRAM_ROW_WIDTH</name>
- <value>12</value>
+ <value>13</value>
</assignment>
<assignment>
<name>embeddedsw.CMacro.SDRAM_COL_WIDTH</name>
- <value>9</value>
+ <value>10</value>
</assignment>
<assignment>
<name>embeddedsw.CMacro.SDRAM_NUM_CHIPSELECTS</name>
@@ -3400,7 +3436,7 @@ the requested settings for a module instance. -->
</parameter>
<parameter name="columnWidth">
<type>int</type>
- <value>9</value>
+ <value>10</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -3416,7 +3452,7 @@ the requested settings for a module instance. -->
</parameter>
<parameter name="generateSimulationModel">
<type>boolean</type>
- <value>true</value>
+ <value>false</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -3504,7 +3540,7 @@ the requested settings for a module instance. -->
</parameter>
<parameter name="rowWidth">
<type>int</type>
- <value>12</value>
+ <value>13</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -3512,7 +3548,7 @@ the requested settings for a module instance. -->
</parameter>
<parameter name="size">
<type>long</type>
- <value>16777216</value>
+ <value>67108864</value>
<derived>true</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -3627,7 +3663,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="addressSpan">
<type>long</type>
- <value>16777216</value>
+ <value>67108864</value>
<derived>true</derived>
<enabled>true</enabled>
<visible>false</visible>
@@ -3910,7 +3946,7 @@ parameters are a RESULT of the module parameters. -->
<port>
<name>az_addr</name>
<direction>Input</direction>
- <width>23</width>
+ <width>25</width>
<role>address</role>
</port>
<port>
@@ -3987,7 +4023,7 @@ parameters are a RESULT of the module parameters. -->
<port>
<name>zs_addr</name>
<direction>Output</direction>
- <width>12</width>
+ <width>13</width>
<role>export</role>
</port>
<port>
@@ -4583,7 +4619,7 @@ parameters are a RESULT of the module parameters. -->
<moduleName>cfi_flash_0</moduleName>
<slaveName>s1</slaveName>
<name>cfi_flash_0.s1</name>
- <baseAddress>37748736</baseAddress>
+ <baseAddress>67108864</baseAddress>
<span>4194304</span>
</memoryBlock>
</interface>
@@ -5794,7 +5830,7 @@ the requested settings for a module instance. -->
<type>boolean</type>
<value>false</value>
<derived>false</derived>
- <enabled>true</enabled>
+ <enabled>false</enabled>
<visible>true</visible>
<valid>true</valid>
</parameter>
@@ -5810,7 +5846,7 @@ the requested settings for a module instance. -->
<type>boolean</type>
<value>false</value>
<derived>false</derived>
- <enabled>true</enabled>
+ <enabled>false</enabled>
<visible>true</visible>
<valid>true</valid>
</parameter>
@@ -5834,7 +5870,7 @@ the requested settings for a module instance. -->
<type>boolean</type>
<value>false</value>
<derived>false</derived>
- <enabled>true</enabled>
+ <enabled>false</enabled>
<visible>true</visible>
<valid>true</valid>
</parameter>
@@ -5842,7 +5878,7 @@ the requested settings for a module instance. -->
<type>boolean</type>
<value>true</value>
<derived>false</derived>
- <enabled>true</enabled>
+ <enabled>false</enabled>
<visible>true</visible>
<valid>true</valid>
</parameter>
@@ -5858,13 +5894,13 @@ the requested settings for a module instance. -->
<type>boolean</type>
<value>false</value>
<derived>false</derived>
- <enabled>true</enabled>
+ <enabled>false</enabled>
<visible>true</visible>
<valid>true</valid>
</parameter>
<parameter name="timerPreset">
<type>com.altera.sopcmodel.components.avalon.AlteraAvalonTimer.TimerPresets</type>
- <value>CUSTOM</value>
+ <value>FULL_FEATURED</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -7681,7 +7717,7 @@ the requested settings for a module instance. -->
</assignment>
<assignment>
<name>embeddedsw.CMacro.SIZE_VALUE</name>
- <value>1024u</value>
+ <value>2048u</value>
</assignment>
<assignment>
<name>embeddedsw.CMacro.SIZE_MULTIPLE</name>
@@ -7801,7 +7837,7 @@ the requested settings for a module instance. -->
</parameter>
<parameter name="memorySize">
<type>long</type>
- <value>1024</value>
+ <value>2048</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -7962,7 +7998,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="addressSpan">
<type>long</type>
- <value>1024</value>
+ <value>2048</value>
<derived>true</derived>
<enabled>true</enabled>
<visible>false</visible>
@@ -8042,7 +8078,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="explicitAddressSpan">
<type>long</type>
- <value>1024</value>
+ <value>2048</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -8245,7 +8281,7 @@ parameters are a RESULT of the module parameters. -->
<port>
<name>address</name>
<direction>Input</direction>
- <width>8</width>
+ <width>9</width>
<role>address</role>
</port>
<port>
@@ -8376,7 +8412,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="addressSpan">
<type>long</type>
- <value>1024</value>
+ <value>2048</value>
<derived>true</derived>
<enabled>true</enabled>
<visible>false</visible>
@@ -8456,7 +8492,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="explicitAddressSpan">
<type>long</type>
- <value>1024</value>
+ <value>2048</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -8659,7 +8695,7 @@ parameters are a RESULT of the module parameters. -->
<port>
<name>address2</name>
<direction>Input</direction>
- <width>8</width>
+ <width>9</width>
<role>address</role>
</port>
<port>
@@ -11882,8 +11918,8 @@ parameters are a RESULT of the module parameters. -->
<moduleName>sdram_0</moduleName>
<slaveName>s1</slaveName>
<name>sdram_0.s1</name>
- <baseAddress>16777216</baseAddress>
- <span>16777216</span>
+ <baseAddress>0</baseAddress>
+ <span>67108864</span>
</memoryBlock>
</interface>
<interface name="in" kind="avalon_streaming_sink" version="9.1">
@@ -14216,8 +14252,8 @@ parameters are a RESULT of the module parameters. -->
<moduleName>sdram_0</moduleName>
<slaveName>s1</slaveName>
<name>sdram_0.s1</name>
- <baseAddress>16777216</baseAddress>
- <span>16777216</span>
+ <baseAddress>0</baseAddress>
+ <span>67108864</span>
</memoryBlock>
</interface>
<interface name="out" kind="avalon_streaming_source" version="9.1">
@@ -14367,7 +14403,7 @@ the requested settings for a module instance. -->
</assignment>
<assignment>
<name>embeddedsw.CMacro.PERIOD</name>
- <value>10</value>
+ <value>5</value>
</assignment>
<assignment>
<name>embeddedsw.CMacro.PERIOD_UNITS</name>
@@ -14387,7 +14423,7 @@ the requested settings for a module instance. -->
</assignment>
<assignment>
<name>embeddedsw.CMacro.LOAD_VALUE</name>
- <value>499999999ULL</value>
+ <value>249999999ULL</value>
</assignment>
<assignment>
<name>embeddedsw.CMacro.COUNTER_SIZE</name>
@@ -14427,7 +14463,7 @@ the requested settings for a module instance. -->
</parameter>
<parameter name="period">
<type>java.lang.String</type>
- <value>10</value>
+ <value>5</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -14966,15 +15002,15 @@ parameters are a RESULT of the module parameters. -->
the requested settings for a module instance. -->
<assignment>
<name>embeddedsw.CMacro.ID</name>
- <value>321608807u</value>
+ <value>215425358u</value>
</assignment>
<assignment>
<name>embeddedsw.CMacro.TIMESTAMP</name>
- <value>1295459557u</value>
+ <value>1308843851u</value>
</assignment>
<parameter name="id">
<type>long</type>
- <value>321608807</value>
+ <value>215425358</value>
<derived>true</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -14982,7 +15018,7 @@ the requested settings for a module instance. -->
</parameter>
<parameter name="timestamp">
<type>long</type>
- <value>1295459557</value>
+ <value>1308843851</value>
<derived>true</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -15403,6 +15439,996 @@ parameters are a RESULT of the module parameters. -->
</port>
</interface>
</module>
+ <module name="ISP1362" kind="ISP1362_CTRL" version="1.0">
+ <!-- Describes a single module. Module parameters are
+the requested settings for a module instance. -->
+ <parameter name="AUTO_CLOCK_RESET_CLOCK_RATE">
+ <type>java.lang.Long</type>
+ <value>50000000</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="AUTO_DEVICE_FAMILY">
+ <type>java.lang.String</type>
+ <value>Cyclone III</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>Cyclone III</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <interface name="clock_reset" kind="clock_sink" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="clockRate">
+ <type>java.lang.Long</type>
+ <value>50000000</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="clockRateKnown">
+ <type>java.lang.Boolean</type>
+ <value>true</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="externallyDriven">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="ptfSchematicName">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>clock</type>
+ <isStart>false</isStart>
+ <port>
+ <name>clk</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>clk</role>
+ </port>
+ <port>
+ <name>reset_n</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>reset_n</role>
+ </port>
+ </interface>
+ <interface name="avalon_slave_0" kind="avalon_slave" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <assignment>
+ <name>embeddedsw.configuration.isFlash</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.isMemoryDevice</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.isNonVolatileStorage</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.isPrintableDevice</name>
+ <value>0</value>
+ </assignment>
+ <parameter name="addressAlignment">
+ <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
+ <value>NATIVE</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressGroup">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressSpan">
+ <type>long</type>
+ <value>4</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="alwaysBurstMaxBurst">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedClock">
+ <type>java.lang.String</type>
+ <value>clock_reset</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bitsPerSymbol">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bridgesToMaster">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstOnBurstBoundariesOnly">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstcountUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="constantBurstBehavior">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="explicitAddressSpan">
+ <type>long</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="holdTime">
+ <type>int</type>
+ <value>100</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="interleaveBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isFlash">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isMemoryDevice">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isNonVolatileStorage">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="linewrapBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maximumPendingReadTransactions">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="minimumUninterruptedRunLength">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="printableDevice">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitStates">
+ <type>int</type>
+ <value>100</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitTime">
+ <type>int</type>
+ <value>100</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerIncomingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerOutgoingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setupTime">
+ <type>int</type>
+ <value>100</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timingUnits">
+ <type>com.altera.sopcmodel.avalon.TimingUnits</type>
+ <value>Nanoseconds</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="transparentBridge">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="wellBehavedWaitrequest">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitStates">
+ <type>int</type>
+ <value>100</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitTime">
+ <type>int</type>
+ <value>100</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>avalon</type>
+ <isStart>false</isStart>
+ <port>
+ <name>address</name>
+ <direction>Input</direction>
+ <width>2</width>
+ <role>address</role>
+ </port>
+ <port>
+ <name>readdata</name>
+ <direction>Output</direction>
+ <width>16</width>
+ <role>readdata</role>
+ </port>
+ <port>
+ <name>writedata</name>
+ <direction>Input</direction>
+ <width>16</width>
+ <role>writedata</role>
+ </port>
+ <port>
+ <name>chipselect_n</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>chipselect_n</role>
+ </port>
+ <port>
+ <name>read_n</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>read_n</role>
+ </port>
+ <port>
+ <name>write_n</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>write_n</role>
+ </port>
+ </interface>
+ <interface name="avalon_slave_1" kind="avalon_slave" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <assignment>
+ <name>embeddedsw.configuration.isFlash</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.isMemoryDevice</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.isNonVolatileStorage</name>
+ <value>0</value>
+ </assignment>
+ <assignment>
+ <name>embeddedsw.configuration.isPrintableDevice</name>
+ <value>0</value>
+ </assignment>
+ <parameter name="addressAlignment">
+ <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
+ <value>NATIVE</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressGroup">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressSpan">
+ <type>long</type>
+ <value>1</value>
+ <derived>true</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="addressUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="alwaysBurstMaxBurst">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedClock">
+ <type>java.lang.String</type>
+ <value>clock_reset</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bitsPerSymbol">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="bridgesToMaster">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstOnBurstBoundariesOnly">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="burstcountUnits">
+ <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
+ <value>WORDS</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="constantBurstBehavior">
+ <type>boolean</type>
+ <value>true</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="explicitAddressSpan">
+ <type>long</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="holdTime">
+ <type>int</type>
+ <value>100</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="interleaveBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isBigEndian">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isFlash">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isMemoryDevice">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="isNonVolatileStorage">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="linewrapBursts">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="maximumPendingReadTransactions">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>false</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="minimumUninterruptedRunLength">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="printableDevice">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitStates">
+ <type>int</type>
+ <value>100</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="readWaitTime">
+ <type>int</type>
+ <value>100</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerIncomingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="registerOutgoingSignals">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="setupTime">
+ <type>int</type>
+ <value>100</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="timingUnits">
+ <type>com.altera.sopcmodel.avalon.TimingUnits</type>
+ <value>Nanoseconds</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="transparentBridge">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="wellBehavedWaitrequest">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeLatency">
+ <type>int</type>
+ <value>0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitStates">
+ <type>int</type>
+ <value>100</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="writeWaitTime">
+ <type>int</type>
+ <value>100</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>avalon</type>
+ <isStart>false</isStart>
+ <port>
+ <name>write_n_avalon_slave_1</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>write_n</role>
+ </port>
+ <port>
+ <name>writedata_avalon_slave_1</name>
+ <direction>Input</direction>
+ <width>8</width>
+ <role>writedata</role>
+ </port>
+ </interface>
+ <interface name="conduit_end" kind="conduit_end" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>conduit</type>
+ <isStart>false</isStart>
+ <port>
+ <name>OTG_ADDR</name>
+ <direction>Output</direction>
+ <width>2</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>OTG_DATA</name>
+ <direction>Bidir</direction>
+ <width>16</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>OTG_CS_N</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>OTG_RD_N</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>OTG_WR_N</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>OTG_RST_N</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>OTG_INT0</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>OTG_INT1</name>
+ <direction>Input</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>OTG_FSPEED</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>OTG_LSPEED</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>OTG_DACK0_N</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ <port>
+ <name>OTG_DACK1_N</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>export</role>
+ </port>
+ </interface>
+ <interface name="interrupt_sender_1" kind="interrupt_sender" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="associatedAddressablePoint">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value>ISP1362.avalon_slave_1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="irqScheme">
+ <type>com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme</type>
+ <value>NONE</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>interrupt</type>
+ <isStart>false</isStart>
+ <port>
+ <name>irq_n_avalon_slave_1</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>irq_n</role>
+ </port>
+ </interface>
+ <interface name="interrupt_sender_0" kind="interrupt_sender" version="9.1">
+ <!-- The connection points exposed by a module instance for the
+particular module parameters. Connection points and their
+parameters are a RESULT of the module parameters. -->
+ <parameter name="associatedAddressablePoint">
+ <type>com.altera.entityinterfaces.IConnectionPoint</type>
+ <value>ISP1362.avalon_slave_0</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="associatedReset">
+ <type>java.lang.String</type>
+ <value></value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="irqScheme">
+ <type>com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme</type>
+ <value>NONE</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>false</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <type>interrupt</type>
+ <isStart>false</isStart>
+ <port>
+ <name>irq_n</name>
+ <direction>Output</direction>
+ <width>1</width>
+ <role>irq_n</role>
+ </port>
+ </interface>
+ </module>
<connection
name="clk_0.clk/cpu_0.clk"
kind="clock"
@@ -15446,7 +16472,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x02801000</value>
+ <value>0x04800000</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -15489,7 +16515,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x02801000</value>
+ <value>0x04800000</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -15559,7 +16585,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x01000000</value>
+ <value>0x0000</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -15602,7 +16628,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x01000000</value>
+ <value>0x0000</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -15785,7 +16811,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x02400000</value>
+ <value>0x04000000</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -15855,7 +16881,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x02801800</value>
+ <value>0x05000000</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -15898,7 +16924,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x02801800</value>
+ <value>0x05000000</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -16003,7 +17029,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x02802880</value>
+ <value>0x04400000</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -16108,7 +17134,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x028028a0</value>
+ <value>0x06000000</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -16213,7 +17239,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x028028c0</value>
+ <value>0x07000000</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -16345,7 +17371,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x02802000</value>
+ <value>0x04802000</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -16388,7 +17414,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x02802000</value>
+ <value>0x04802000</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -16485,7 +17511,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x02802400</value>
+ <value>0x08002400</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -16582,7 +17608,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x02802800</value>
+ <value>0x08100000</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -16714,7 +17740,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x02802840</value>
+ <value>0x08200000</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -16878,7 +17904,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x01000000</value>
+ <value>0x0000</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -16975,7 +18001,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x01000000</value>
+ <value>0x0000</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -17279,7 +18305,7 @@ parameters are a RESULT of the module parameters. -->
</parameter>
<parameter name="baseAddress">
<type>long</type>
- <value>0x0048a000</value>
+ <value>0x08000000</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
@@ -17306,168 +18332,359 @@ parameters are a RESULT of the module parameters. -->
<endModule>sysid</endModule>
<endConnectionPoint>control_slave</endConnectionPoint>
</connection>
+ <connection
+ name="clk_0.clk/ISP1362.clock_reset"
+ kind="clock"
+ version="9.1"
+ start="clk_0.clk"
+ end="ISP1362.clock_reset">
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>clk_0</startModule>
+ <startConnectionPoint>clk</startConnectionPoint>
+ <endModule>ISP1362</endModule>
+ <endConnectionPoint>clock_reset</endConnectionPoint>
+ </connection>
+ <connection
+ name="cpu_0.data_master/ISP1362.avalon_slave_0"
+ kind="avalon"
+ version="6.1"
+ start="cpu_0.data_master"
+ end="ISP1362.avalon_slave_0">
+ <parameter name="arbitrationPriority">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="baseAddress">
+ <type>long</type>
+ <value>0x08220000</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>cpu_0</startModule>
+ <startConnectionPoint>data_master</startConnectionPoint>
+ <endModule>ISP1362</endModule>
+ <endConnectionPoint>avalon_slave_0</endConnectionPoint>
+ </connection>
+ <connection
+ name="cpu_0.data_master/ISP1362.avalon_slave_1"
+ kind="avalon"
+ version="6.1"
+ start="cpu_0.data_master"
+ end="ISP1362.avalon_slave_1">
+ <parameter name="arbitrationPriority">
+ <type>int</type>
+ <value>1</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="baseAddress">
+ <type>long</type>
+ <value>0x08220020</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>cpu_0</startModule>
+ <startConnectionPoint>data_master</startConnectionPoint>
+ <endModule>ISP1362</endModule>
+ <endConnectionPoint>avalon_slave_1</endConnectionPoint>
+ </connection>
+ <connection
+ name="cpu_0.d_irq/ISP1362.interrupt_sender_1"
+ kind="interrupt"
+ version="9.1"
+ start="cpu_0.d_irq"
+ end="ISP1362.interrupt_sender_1">
+ <parameter name="irqNumber">
+ <type>int</type>
+ <value>8</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>cpu_0</startModule>
+ <startConnectionPoint>d_irq</startConnectionPoint>
+ <endModule>ISP1362</endModule>
+ <endConnectionPoint>interrupt_sender_1</endConnectionPoint>
+ </connection>
+ <connection
+ name="cpu_0.d_irq/ISP1362.interrupt_sender_0"
+ kind="interrupt"
+ version="9.1"
+ start="cpu_0.d_irq"
+ end="ISP1362.interrupt_sender_0">
+ <parameter name="irqNumber">
+ <type>int</type>
+ <value>7</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="deviceFamily">
+ <type>java.lang.String</type>
+ <value>UNKNOWN</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <parameter name="generateLegacySim">
+ <type>boolean</type>
+ <value>false</value>
+ <derived>false</derived>
+ <enabled>true</enabled>
+ <visible>true</visible>
+ <valid>true</valid>
+ </parameter>
+ <startModule>cpu_0</startModule>
+ <startConnectionPoint>d_irq</startConnectionPoint>
+ <endModule>ISP1362</endModule>
+ <endConnectionPoint>interrupt_sender_0</endConnectionPoint>
+ </connection>
<plugin>
<instanceCount>1</instanceCount>
- <name>avalon_tristate_slave</name>
+ <name>avalon_tristate_master</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Memory Mapped Tristate Slave</displayName>
+ <displayName>Avalon Memory Mapped Tristate Master</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_cfi_flash</name>
+ <name>clock_source</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Flash Memory Interface (CFI)</displayName>
+ <displayName>Clock Source</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>avalon_tristate</name>
+ <name>altera_avalon_epcs_flash_controller</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IConnection</subtype>
- <displayName>Avalon Memory Mapped Tristate Connection</displayName>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>EPCS Serial Flash Controller</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>2</instanceCount>
- <name>altera_avalon_timer</name>
+ <name>avalon_streaming</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Interval Timer</displayName>
+ <subtype>com.altera.entityinterfaces.IConnection</subtype>
+ <displayName>Avalon Streaming Connection</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>18</instanceCount>
- <name>clock</name>
+ <instanceCount>1</instanceCount>
+ <name>clock_sink</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IConnection</subtype>
- <displayName>Clock Connection</displayName>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Clock Input</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>1</instanceCount>
- <name>altera_avalon_tri_state_bridge</name>
+ <instanceCount>2</instanceCount>
+ <name>altera_avalon_timer</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Avalon-MM Tristate Bridge</displayName>
+ <displayName>Interval Timer</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_sysid</name>
+ <name>altera_nios2</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>System ID Peripheral</displayName>
+ <displayName>Nios II Processor</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>2</instanceCount>
- <name>avalon_streaming</name>
+ <instanceCount>15</instanceCount>
+ <name>avalon_slave</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IConnection</subtype>
- <displayName>Avalon Streaming Connection</displayName>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Avalon Memory Mapped Slave</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>clock_source</name>
+ <name>avalon_tristate</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Clock Source</displayName>
+ <subtype>com.altera.entityinterfaces.IConnection</subtype>
+ <displayName>Avalon Memory Mapped Tristate Connection</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>19</instanceCount>
- <name>clock_sink</name>
+ <instanceCount>2</instanceCount>
+ <name>altera_avalon_onchip_memory2</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Clock Input</displayName>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>On-Chip Memory (RAM or ROM)</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>2</instanceCount>
- <name>altera_avalon_onchip_memory2</name>
+ <name>altera_avalon_sgdma</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>On-Chip Memory (RAM or ROM)</displayName>
+ <displayName>Scatter-Gather DMA Controller</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>15</instanceCount>
- <name>avalon_slave</name>
+ <instanceCount>1</instanceCount>
+ <name>clock_source</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Memory Mapped Slave</displayName>
+ <displayName>Clock Output</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>2</instanceCount>
- <name>avalon_streaming_source</name>
+ <instanceCount>19</instanceCount>
+ <name>clock</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IConnection</subtype>
+ <displayName>Clock Connection</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>7</instanceCount>
+ <name>interrupt_sender</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Streaming Source</displayName>
+ <displayName>Interrupt Sender</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>1</instanceCount>
- <name>altera_avalon_uart</name>
+ <instanceCount>2</instanceCount>
+ <name>avalon_slave</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>UART (RS-232 Serial Port)</displayName>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Avalon Memory Mapped Slave</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>10</instanceCount>
- <name>avalon_master</name>
+ <instanceCount>2</instanceCount>
+ <name>avalon_streaming_sink</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Memory Mapped Master</displayName>
+ <displayName>Avalon Streaming Sink</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_nios2</name>
+ <name>ISP1362_CTRL</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Nios II Processor</displayName>
- <version>9.1</version>
+ <displayName>ISP1362_CTRL</displayName>
+ <version>1.0</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_new_sdram_controller</name>
+ <name>altera_avalon_cfi_flash</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>SDRAM Controller</displayName>
+ <displayName>Flash Memory Interface (CFI)</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>2</instanceCount>
- <name>avalon_streaming_sink</name>
+ <instanceCount>27</instanceCount>
+ <name>avalon</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Streaming Sink</displayName>
- <version>9.1</version>
+ <subtype>com.altera.entityinterfaces.IConnection</subtype>
+ <displayName>Avalon Memory Mapped Connection</displayName>
+ <version>6.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>avalon_tristate_master</name>
+ <name>triple_speed_ethernet</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Avalon Memory Mapped Tristate Master</displayName>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>Triple-Speed Ethernet</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>triple_speed_ethernet</name>
+ <name>avalon_tristate_slave</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Triple-Speed Ethernet</displayName>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Avalon Memory Mapped Tristate Slave</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>7</instanceCount>
+ <instanceCount>9</instanceCount>
<name>interrupt</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IConnection</subtype>
@@ -17475,27 +18692,35 @@ parameters are a RESULT of the module parameters. -->
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>2</instanceCount>
- <name>altera_avalon_sgdma</name>
+ <instanceCount>1</instanceCount>
+ <name>altera_avalon_sysid</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>Scatter-Gather DMA Controller</displayName>
+ <displayName>System ID Peripheral</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>1</instanceCount>
- <name>altera_avalon_jtag_uart</name>
+ <instanceCount>19</instanceCount>
+ <name>clock_sink</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>JTAG UART</displayName>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Clock Input</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>7</instanceCount>
- <name>interrupt_sender</name>
+ <instanceCount>1</instanceCount>
+ <name>conduit_end</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Interrupt Sender</displayName>
+ <displayName>Conduit</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>1</instanceCount>
+ <name>altera_avalon_tri_state_bridge</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>Avalon-MM Tristate Bridge</displayName>
<version>9.1</version>
</plugin>
<plugin>
@@ -17507,36 +18732,44 @@ parameters are a RESULT of the module parameters. -->
<version>7.1</version>
</plugin>
<plugin>
- <instanceCount>1</instanceCount>
- <name>nios_custom_instruction_master</name>
+ <instanceCount>2</instanceCount>
+ <name>avalon_streaming_source</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Custom Instruction Master</displayName>
+ <displayName>Avalon Streaming Source</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>1</instanceCount>
- <name>clock_source</name>
+ <instanceCount>10</instanceCount>
+ <name>avalon_master</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
- <displayName>Clock Output</displayName>
+ <displayName>Avalon Memory Mapped Master</displayName>
<version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
- <name>altera_avalon_epcs_flash_controller</name>
+ <name>altera_avalon_uart</name>
<type>com.altera.entityinterfaces.IElementClass</type>
<subtype>com.altera.entityinterfaces.IModule</subtype>
- <displayName>EPCS Serial Flash Controller</displayName>
+ <displayName>UART (RS-232 Serial Port)</displayName>
<version>9.1</version>
</plugin>
<plugin>
- <instanceCount>25</instanceCount>
- <name>avalon</name>
+ <instanceCount>1</instanceCount>
+ <name>altera_avalon_jtag_uart</name>
<type>com.altera.entityinterfaces.IElementClass</type>
- <subtype>com.altera.entityinterfaces.IConnection</subtype>
- <displayName>Avalon Memory Mapped Connection</displayName>
- <version>6.1</version>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>JTAG UART</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>2</instanceCount>
+ <name>interrupt_sender</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Interrupt Sender</displayName>
+ <version>9.1</version>
</plugin>
<plugin>
<instanceCount>1</instanceCount>
@@ -17546,6 +18779,22 @@ parameters are a RESULT of the module parameters. -->
<displayName>Interrupt Receiver</displayName>
<version>9.1</version>
</plugin>
+ <plugin>
+ <instanceCount>1</instanceCount>
+ <name>nios_custom_instruction_master</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
+ <displayName>Custom Instruction Master</displayName>
+ <version>9.1</version>
+ </plugin>
+ <plugin>
+ <instanceCount>1</instanceCount>
+ <name>altera_avalon_new_sdram_controller</name>
+ <type>com.altera.entityinterfaces.IElementClass</type>
+ <subtype>com.altera.entityinterfaces.IModule</subtype>
+ <displayName>SDRAM Controller</displayName>
+ <version>9.1</version>
+ </plugin>
<reportVersion>9.1sp2 350</reportVersion>
- <uniqueIdentifier>0015120002040000012D9F67E6DA</uniqueIdentifier>
+ <uniqueIdentifier>00151200020400000130BD2BD28E</uniqueIdentifier>
</EnsembleReport>